-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
--
|
--
|
-- $Id: t400_tech_comp_pack-p.vhd,v 1.2 2006-06-05 20:31:00 arniml Exp $
|
-- $Id: t400_tech_comp_pack-p.vhd 179 2009-04-01 19:48:38Z arniml $
|
--
|
--
|
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
|
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
|
--
|
--
|
-- All rights reserved
|
-- All rights reserved
|
--
|
--
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
|
|
package t400_tech_comp_pack is
|
package t400_tech_comp_pack is
|
|
|
component t400_por
|
component t400_por
|
generic (
|
generic (
|
delay_g : integer := 4;
|
delay_g : integer := 4;
|
cnt_width_g : integer := 2
|
cnt_width_g : integer := 2
|
);
|
);
|
port (
|
port (
|
clk_i : in std_logic;
|
clk_i : in std_logic;
|
por_n_o : out std_logic
|
por_n_o : out std_logic
|
);
|
);
|
end component;
|
end component;
|
|
|
component generic_ram
|
component generic_ram
|
generic (
|
generic (
|
addr_width_g : integer := 10;
|
addr_width_g : integer := 10;
|
data_width_g : integer := 8
|
data_width_g : integer := 8
|
);
|
);
|
port (
|
port (
|
clk_i : in std_logic;
|
clk_i : in std_logic;
|
a_i : in std_logic_vector(addr_width_g-1 downto 0);
|
a_i : in std_logic_vector(addr_width_g-1 downto 0);
|
we_i : in std_logic;
|
we_i : in std_logic;
|
d_i : in std_logic_vector(data_width_g-1 downto 0);
|
d_i : in std_logic_vector(data_width_g-1 downto 0);
|
d_o : out std_logic_vector(data_width_g-1 downto 0)
|
d_o : out std_logic_vector(data_width_g-1 downto 0)
|
);
|
);
|
end component;
|
end component;
|
|
|
component generic_ram_ena
|
component generic_ram_ena
|
generic (
|
generic (
|
addr_width_g : integer := 10;
|
addr_width_g : integer := 10;
|
data_width_g : integer := 8
|
data_width_g : integer := 8
|
);
|
);
|
port (
|
port (
|
clk_i : in std_logic;
|
clk_i : in std_logic;
|
a_i : in std_logic_vector(addr_width_g-1 downto 0);
|
a_i : in std_logic_vector(addr_width_g-1 downto 0);
|
we_i : in std_logic;
|
we_i : in std_logic;
|
ena_i : in std_logic;
|
ena_i : in std_logic;
|
d_i : in std_logic_vector(data_width_g-1 downto 0);
|
d_i : in std_logic_vector(data_width_g-1 downto 0);
|
d_o : out std_logic_vector(data_width_g-1 downto 0)
|
d_o : out std_logic_vector(data_width_g-1 downto 0)
|
);
|
);
|
end component;
|
end component;
|
|
|
|
|
end t400_tech_comp_pack;
|
end t400_tech_comp_pack;
|
|
|
|
|
-------------------------------------------------------------------------------
|
|
-- File History:
|
|
--
|
|
-- $Log: not supported by cvs2svn $
|
|
-- Revision 1.1.1.1 2006/05/06 01:56:44 arniml
|
|
-- import from local CVS repository, LOC_CVS_0_1
|
|
--
|
|
-------------------------------------------------------------------------------
|
|
|
|
No newline at end of file
|
No newline at end of file
|