OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

[/] [t400/] [trunk/] [rtl/] [vhdl/] [t400_core-c.vhd] - Diff between revs 176 and 179

Only display areas with differences | Details | Blame | View Log

Rev 176 Rev 179
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T400 Microcontroller Core
-- T400 Microcontroller Core
--
--
-- $Id: t400_core-c.vhd,v 1.3 2006-05-22 00:03:29 arniml Exp $
-- $Id: t400_core-c.vhd 179 2009-04-01 19:48:38Z arniml $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
configuration t400_core_struct_c0 of t400_core is
configuration t400_core_struct_c0 of t400_core is
 
 
  for struct
  for struct
 
 
    for clkgen_b: t400_clkgen
    for clkgen_b: t400_clkgen
      use configuration work.t400_clkgen_rtl_c0;
      use configuration work.t400_clkgen_rtl_c0;
    end for;
    end for;
 
 
    for reset_b: t400_reset
    for reset_b: t400_reset
      use configuration work.t400_reset_rtl_c0;
      use configuration work.t400_reset_rtl_c0;
    end for;
    end for;
 
 
    for pmem_ctrl_b: t400_pmem_ctrl
    for pmem_ctrl_b: t400_pmem_ctrl
      use configuration work.t400_pmem_ctrl_rtl_c0;
      use configuration work.t400_pmem_ctrl_rtl_c0;
    end for;
    end for;
 
 
    for dmem_ctrl_b: t400_dmem_ctrl
    for dmem_ctrl_b: t400_dmem_ctrl
      use configuration work.t400_dmem_ctrl_rtl_c0;
      use configuration work.t400_dmem_ctrl_rtl_c0;
    end for;
    end for;
 
 
    for decoder_b: t400_decoder
    for decoder_b: t400_decoder
      use configuration work.t400_decoder_rtl_c0;
      use configuration work.t400_decoder_rtl_c0;
    end for;
    end for;
 
 
    for skip_b: t400_skip
    for skip_b: t400_skip
      use configuration work.t400_skip_rtl_c0;
      use configuration work.t400_skip_rtl_c0;
    end for;
    end for;
 
 
    for alu_b: t400_alu
    for alu_b: t400_alu
      use configuration work.t400_alu_rtl_c0;
      use configuration work.t400_alu_rtl_c0;
    end for;
    end for;
 
 
    for stack_b: t400_stack
    for stack_b: t400_stack
      use configuration work.t400_stack_rtl_c0;
      use configuration work.t400_stack_rtl_c0;
    end for;
    end for;
 
 
    for io_l_b: t400_io_l
    for io_l_b: t400_io_l
      use configuration work.t400_io_l_rtl_c0;
      use configuration work.t400_io_l_rtl_c0;
    end for;
    end for;
 
 
    for io_d_b: t400_io_d
    for io_d_b: t400_io_d
      use configuration work.t400_io_d_rtl_c0;
      use configuration work.t400_io_d_rtl_c0;
    end for;
    end for;
 
 
    for io_g_b: t400_io_g
    for io_g_b: t400_io_g
      use configuration work.t400_io_g_rtl_c0;
      use configuration work.t400_io_g_rtl_c0;
    end for;
    end for;
 
 
    for use_in
    for use_in
      for io_in_b: t400_io_in
      for io_in_b: t400_io_in
        use configuration work.t400_io_in_rtl_c0;
        use configuration work.t400_io_in_rtl_c0;
      end for;
      end for;
    end for;
    end for;
 
 
    for sio_b: t400_sio
    for sio_b: t400_sio
      use configuration work.t400_sio_rtl_c0;
      use configuration work.t400_sio_rtl_c0;
    end for;
    end for;
 
 
    for use_tim
    for use_tim
      for timer_b: t400_timer
      for timer_b: t400_timer
        use configuration work.t400_timer_rtl_c0;
        use configuration work.t400_timer_rtl_c0;
      end for;
      end for;
    end for;
    end for;
 
 
  end for;
  end for;
 
 
end t400_core_struct_c0;
end t400_core_struct_c0;
 
 
 
 
-------------------------------------------------------------------------------
 
-- File History:
 
--
 
-- $Log: not supported by cvs2svn $
 
-- Revision 1.2  2006/05/20 02:48:17  arniml
 
-- timer module included
 
--
 
-- Revision 1.1.1.1  2006/05/06 01:56:44  arniml
 
-- import from local CVS repository, LOC_CVS_0_1
 
--
 
-------------------------------------------------------------------------------
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.