OpenCores
URL https://opencores.org/ocsvn/t48/t48/trunk

Subversion Repositories t48

[/] [t48/] [tags/] [rel_1_0/] [rtl/] [vhdl/] [system/] [t48_rom-struct-c.vhd] - Diff between revs 277 and 292

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 277 Rev 292
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
-- T8x48 ROM
-- T8x48 ROM
--
--
-- $Id: t48_rom-struct-c.vhd,v 1.1 2006-11-26 12:52:34 arniml Exp $
-- $Id: t48_rom-struct-c.vhd,v 1.1 2006-11-26 12:52:34 arniml Exp $
--
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
--
-- All rights reserved
-- All rights reserved
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
configuration t48_rom_struct_c0 of t48_rom is
configuration t48_rom_struct_c0 of t48_rom is
 
 
  for struct
  for struct
 
 
    for rom_b: rom_t48
    for rom_b: rom_t48
      use configuration work.rom_t48_rtl_c0;
      use configuration work.rom_t48_rtl_c0;
    end for;
    end for;
 
 
  end for;
  end for;
 
 
end t48_rom_struct_c0;
end t48_rom_struct_c0;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.