OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [t6507lp_alu_tb.v] - Diff between revs 143 and 145

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 143 Rev 145
`include "timescale.v"
`include "timescale.v"
module t6507lp_alu_tb;
module t6507lp_alu_tb;
 
 
`include  "t6507lp_package.v"
`include  "t6507lp_package.v"
 
 
reg         clk;
reg         clk;
reg         reset_n;
reg         reset_n;
reg         alu_enable;
reg         alu_enable;
wire [7:0]  alu_result;
wire [7:0]  alu_result;
wire [7:0]  alu_status;
wire [7:0]  alu_status;
reg  [7:0]  alu_opcode;
reg  [7:0]  alu_opcode;
reg  [7:0]  alu_a;
reg  [7:0]  alu_a;
wire [7:0]  alu_x;
wire [7:0]  alu_x;
wire [7:0]  alu_y;
wire [7:0]  alu_y;
reg  [31:0] i;
reg  [31:0] i;
 
 
reg [7:0] alu_result_expected;
reg [7:0] alu_result_expected;
reg [7:0] alu_status_expected;
reg [7:0] alu_status_expected;
reg [7:0] alu_x_expected;
reg [7:0] alu_x_expected;
reg [7:0] alu_y_expected;
reg [7:0] alu_y_expected;
 
 
reg c_aux;
reg c_aux;
reg [7:0] temp;
reg [7:0] temp;
 
 
t6507lp_alu DUT (
t6507lp_alu DUT (
                        .clk            (clk),
                        .clk            (clk),
                        .reset_n        (reset_n),
                        .reset_n        (reset_n),
                        .alu_enable     (alu_enable),
                        .alu_enable     (alu_enable),
                        .alu_result     (alu_result),
                        .alu_result     (alu_result),
                        .alu_status     (alu_status),
                        .alu_status     (alu_status),
                        .alu_opcode     (alu_opcode),
                        .alu_opcode     (alu_opcode),
                        .alu_a          (alu_a),
                        .alu_a          (alu_a),
                        .alu_x          (alu_x),
                        .alu_x          (alu_x),
                        .alu_y          (alu_y)
                        .alu_y          (alu_y)
                );
                );
 
 
 
 
localparam period = 10;
localparam period = 10;
 
 
task check;
task check;
        begin
        begin
                $display("               RESULTS       EXPECTED");
                $display("               RESULTS       EXPECTED");
                $display("alu_result       %h             %h   ", alu_result, alu_result_expected);
                $display("alu_result       %h             %h   ", alu_result, alu_result_expected);
                $display("alu_status    %b       %b   ", alu_status, alu_status_expected);
                $display("alu_status    %b       %b   ", alu_status, alu_status_expected);
                $display("alu_x            %h             %h   ", alu_x,      alu_x_expected     );
                $display("alu_x            %h             %h   ", alu_x,      alu_x_expected     );
                $display("alu_y            %h             %h   ", alu_y,      alu_y_expected     );
                $display("alu_y            %h             %h   ", alu_y,      alu_y_expected     );
                if ((alu_result_expected != alu_result) || (alu_status_expected != alu_status) || (alu_x_expected != alu_x) || (alu_y_expected != alu_y))
                if ((alu_result_expected != alu_result) || (alu_status_expected != alu_status) || (alu_x_expected != alu_x) || (alu_y_expected != alu_y))
                begin
                begin
                        $display("ERROR at instruction %h",alu_opcode);
                        $display("ERROR at instruction %h",alu_opcode);
                        $finish;
                        $finish;
                end
                end
                else
                else
                begin
                begin
                        $display("Instruction %h... OK!", alu_opcode);
                        $display("Instruction %h... OK!", alu_opcode);
                end
                end
        end
        end
endtask
endtask
 
 
 
 
always begin
always begin
        #(period/2) clk = ~clk;
        #(period/2) clk = ~clk;
end
end
 
 
initial
initial
begin
begin
        // Reset
        // Reset
        clk = 0;
        clk = 0;
        reset_n = 0;
        reset_n = 0;
        @(negedge clk);
        @(negedge clk);
        @(negedge clk);
        @(negedge clk);
        //reset_n = 1;
        //reset_n = 1;
        alu_enable = 1;
        alu_enable = 1;
        alu_result_expected = 8'h00;
        alu_result_expected = 8'h00;
        alu_status_expected = 8'b00100010;
        alu_status_expected = 8'b00100010;
        alu_x_expected = 8'h00;
        alu_x_expected = 8'h00;
        alu_y_expected = 8'h00;
        alu_y_expected = 8'h00;
 
 
        // LDA
        // LDA
        alu_a = 0;
        alu_a = 0;
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        @(negedge clk);
        @(negedge clk);
        alu_result_expected = 8'h00;
        alu_result_expected = 8'h00;
        //                       NV1BDIZC
        //                       NV1BDIZC
        alu_status_expected = 8'b00100010;
        alu_status_expected = 8'b00100010;
        check();
        check();
 
 
        // ADC
        // ADC
        alu_opcode = ADC_IMM;
        alu_opcode = ADC_IMM;
        alu_a = 1;
        alu_a = 1;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                {alu_status_expected[C], alu_result_expected} = alu_a + alu_result_expected + alu_status_expected[C];
                {alu_status_expected[C], alu_result_expected} = alu_a + alu_result_expected + alu_status_expected[C];
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[V] = ((alu_a[7] == DUT.A[7]) && (alu_a[7] != alu_result_expected[7]));
                alu_status_expected[V] = ((alu_a[7] == DUT.A[7]) && (alu_a[7] != alu_result_expected[7]));
                check();
                check();
        end
        end
 
 
 
        // ADC
 
        alu_opcode = ASL_ABS;
 
        for (i = 0; i < 1000; i = i + 1)
 
        begin
 
                alu_a = i;
 
                @(negedge clk);
 
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
 
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
 
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
 
                {alu_status_expected[C], alu_result_expected} = {alu_a,1'b0};
 
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
 
                alu_status_expected[N] = alu_result_expected[7];
 
                check();
 
        end
 
 
 
        // LDA
 
        alu_a = 0;
 
        alu_opcode = LDA_IMM;
 
    //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
 
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
 
        @(negedge clk);
 
        alu_result_expected = 8'h00;
 
        //                       NV1BDIZC
 
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
 
        alu_status_expected[N] = alu_result_expected[7];
 
        check();
 
 
        // SBC
        // SBC
        alu_opcode = SBC_IMM;
        alu_opcode = SBC_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
 
                alu_a = 1;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                {alu_status_expected[C], alu_result_expected} = alu_result_expected - alu_a - ~alu_status_expected[C];
                {alu_status_expected[C], alu_result_expected} = alu_result_expected - alu_a - ~alu_status_expected[C];
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[V] = ((alu_a[7] == DUT.A[7]) && (alu_a[7] != alu_result_expected[7]));
                alu_status_expected[V] = ((alu_a[7] == DUT.A[7]) && (alu_a[7] != alu_result_expected[7]));
                check();
                check();
        end
        end
 
 
        // LDA
        // LDA
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                alu_result_expected = i;
                alu_result_expected = i;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // LDX
        // LDX
        alu_opcode = LDX_IMM;
        alu_opcode = LDX_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                alu_x_expected = i;
                alu_x_expected = i;
                //alu_result_expected = i;
                //alu_result_expected = i;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_x_expected[7];
                alu_status_expected[N] = alu_x_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // LDY
        // LDY
        alu_opcode = LDY_IMM;
        alu_opcode = LDY_IMM;
        for (i = 0; i < 1001; i = i + 1)
        for (i = 0; i < 1001; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                alu_y_expected = i;
                alu_y_expected = i;
                //alu_result_expected = i;
                //alu_result_expected = i;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_y_expected[7];
                alu_status_expected[N] = alu_y_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // STA
        // STA
        alu_opcode = STA_ABS;
        alu_opcode = STA_ABS;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // STX
        // STX
        alu_opcode = STX_ABS;
        alu_opcode = STX_ABS;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //alu_result_expected = i;
                //alu_result_expected = i;
                //alu_x_expected = i;
                //alu_x_expected = i;
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // STY
        // STY
        alu_opcode = STY_ABS;
        alu_opcode = STY_ABS;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //alu_result_expected = i;
                //alu_result_expected = i;
                //alu_y_expected = i;
                //alu_y_expected = i;
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // CMP
        // CMP
        alu_opcode = CMP_IMM;
        alu_opcode = CMP_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                temp = alu_result_expected - alu_a;
                temp = alu_result_expected - alu_a;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[N] = temp[7];
                alu_status_expected[N] = temp[7];
                alu_status_expected[C] = (alu_result_expected >= alu_a) ? 1 : 0;
                alu_status_expected[C] = (alu_result_expected >= alu_a) ? 1 : 0;
                //alu_result_expected = i;
                //alu_result_expected = i;
                //alu_y_expected = i;
                //alu_y_expected = i;
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // CPX
        // CPX
        alu_opcode = CPX_IMM;
        alu_opcode = CPX_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                temp = alu_x_expected - alu_a;
                temp = alu_x_expected - alu_a;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[N] = temp[7];
                alu_status_expected[N] = temp[7];
                alu_status_expected[C] = (alu_x_expected >= alu_a) ? 1 : 0;
                alu_status_expected[C] = (alu_x_expected >= alu_a) ? 1 : 0;
                //alu_result_expected = i;
                //alu_result_expected = i;
                //alu_y_expected = i;
                //alu_y_expected = i;
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // CPY
        // CPY
        alu_opcode = CPY_IMM;
        alu_opcode = CPY_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                temp = alu_y_expected - alu_a;
                temp = alu_y_expected - alu_a;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[Z] = (temp == 0) ? 1 : 0;
                alu_status_expected[N] = temp[7];
                alu_status_expected[N] = temp[7];
                alu_status_expected[C] = (alu_y_expected >= alu_a) ? 1 : 0;
                alu_status_expected[C] = (alu_y_expected >= alu_a) ? 1 : 0;
                //alu_result_expected = i;
                //alu_result_expected = i;
                //alu_y_expected = i;
                //alu_y_expected = i;
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
 
 
        // AND
        // AND
        alu_opcode = AND_IMM;
        alu_opcode = AND_IMM;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                alu_result_expected = i & alu_result_expected;
                alu_result_expected = i & alu_result_expected;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // ASL
        // ASL
        alu_opcode = ASL_ACC;
        alu_opcode = ASL_ACC;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                alu_status_expected[C] = alu_result_expected[7];
                alu_status_expected[C] = alu_result_expected[7];
                alu_result_expected[7:0] = alu_result_expected << 1;
                alu_result_expected[7:0] = alu_result_expected << 1;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                check();
                check();
        end
        end
 
 
        // INC
        // INC
        alu_opcode = INC_ZPG;
        alu_opcode = INC_ZPG;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_result_expected = alu_a + 1;
                alu_result_expected = alu_a + 1;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                check();
                check();
        end
        end
 
 
        // INX
        // INX
        alu_opcode = INX_IMP;
        alu_opcode = INX_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_x_expected = alu_x_expected + 1;
                alu_x_expected = alu_x_expected + 1;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_x_expected[7];
                alu_status_expected[N] = alu_x_expected[7];
                check();
                check();
        end
        end
 
 
        // INY
        // INY
        alu_opcode = INY_IMP;
        alu_opcode = INY_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_y_expected = alu_y_expected + 1;
                alu_y_expected = alu_y_expected + 1;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_y_expected[7];
                alu_status_expected[N] = alu_y_expected[7];
                check();
                check();
        end
        end
 
 
        // DEC
        // DEC
        alu_opcode = DEC_ZPG;
        alu_opcode = DEC_ZPG;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_result_expected = alu_a - 1;
                alu_result_expected = alu_a - 1;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_result_expected[7];
                alu_status_expected[N] = alu_result_expected[7];
                check();
                check();
        end
        end
 
 
        // DEX
        // DEX
        alu_opcode = DEX_IMP;
        alu_opcode = DEX_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_x_expected = alu_x_expected - 1;
                alu_x_expected = alu_x_expected - 1;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_x_expected[7];
                alu_status_expected[N] = alu_x_expected[7];
                check();
                check();
        end
        end
 
 
        // DEY
        // DEY
        alu_opcode = DEY_IMP;
        alu_opcode = DEY_IMP;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_y_expected = alu_y_expected - 1;
                alu_y_expected = alu_y_expected - 1;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
                alu_status_expected[N] = alu_y_expected[7];
                alu_status_expected[N] = alu_y_expected[7];
                check();
                check();
        end
        end
 
 
 
 
        // LDA
        // LDA
        alu_a = 0;
        alu_a = 0;
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        //$display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
        @(negedge clk);
        @(negedge clk);
        alu_result_expected = 8'h00;
        alu_result_expected = 8'h00;
        //                       NV1BDIZC
        //                       NV1BDIZC
        alu_status_expected = 8'b00100010;
        alu_status_expected = 8'b00100010;
        check();
        check();
 
 
        // BIT
        // BIT
        alu_opcode = BIT_ZPG;
        alu_opcode = BIT_ZPG;
        for (i = 0; i < 1000; i = i + 1)
        for (i = 0; i < 1000; i = i + 1)
        begin
        begin
                alu_a = i;
                alu_a = i;
                @(negedge clk);
                @(negedge clk);
                $display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                $display("i = %d alu_opcode = %h alu_enable = %d", i, alu_opcode, alu_enable);
                $display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                $display("DUT.A = %h DUT.X = %h DUT.Y = %h", DUT.A, DUT.X, DUT.Y);
                $display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                $display("op1 = %d op2 = %d  c = %d d = %d n = %d v = %d result = %d", alu_a, DUT.A, alu_status[C], alu_status[D], alu_status[N], alu_status[V], alu_result);
                alu_status_expected[Z] = ((alu_a & alu_result_expected) == 0) ? 1 : 0;
                alu_status_expected[Z] = ((alu_a & alu_result_expected) == 0) ? 1 : 0;
                alu_status_expected[V] = alu_a[6];
                alu_status_expected[V] = alu_a[6];
                alu_status_expected[N] = alu_a[7];
                alu_status_expected[N] = alu_a[7];
                check();
                check();
        end
        end
 
 
        // SEC
        // SEC
        alu_opcode = SEC_IMP;
        alu_opcode = SEC_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_status_expected[C] = 1;
        alu_status_expected[C] = 1;
        check();
        check();
 
 
        // SED
        // SED
        alu_opcode = SED_IMP;
        alu_opcode = SED_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_status_expected[D] = 1;
        alu_status_expected[D] = 1;
        check();
        check();
 
 
        // SEI
        // SEI
        alu_opcode = SEI_IMP;
        alu_opcode = SEI_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_status_expected[I] = 1;
        alu_status_expected[I] = 1;
        check();
        check();
 
 
        // CLC
        // CLC
        alu_opcode = CLC_IMP;
        alu_opcode = CLC_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_status_expected[C] = 0;
        alu_status_expected[C] = 0;
        check();
        check();
 
 
        // CLD
        // CLD
        alu_opcode = CLD_IMP;
        alu_opcode = CLD_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_status_expected[D] = 0;
        alu_status_expected[D] = 0;
        check();
        check();
 
 
        // CLI
        // CLI
        alu_opcode = CLI_IMP;
        alu_opcode = CLI_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_status_expected[I] = 0;
        alu_status_expected[I] = 0;
        check();
        check();
 
 
        // CLV
        // CLV
        alu_opcode = CLV_IMP;
        alu_opcode = CLV_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_status_expected[V] = 0;
        alu_status_expected[V] = 0;
        check();
        check();
 
 
        // LDA
        // LDA
        alu_opcode = LDA_IMM;
        alu_opcode = LDA_IMM;
        alu_a = 8'h76;
        alu_a = 8'h76;
        @(negedge clk);
        @(negedge clk);
        alu_result_expected = alu_a;
        alu_result_expected = alu_a;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_result_expected[7];
        alu_status_expected[N] = alu_result_expected[7];
        check();
        check();
 
 
        // TAX
        // TAX
        alu_opcode = TAX_IMP;
        alu_opcode = TAX_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_x_expected = alu_result_expected;
        alu_x_expected = alu_result_expected;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_x_expected[7];
        alu_status_expected[N] = alu_x_expected[7];
        check();
        check();
 
 
        // TAY
        // TAY
        alu_opcode = TAY_IMP;
        alu_opcode = TAY_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_y_expected = alu_result_expected;
        alu_y_expected = alu_result_expected;
        alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_y_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_y_expected[7];
        alu_status_expected[N] = alu_y_expected[7];
        check();
        check();
 
 
        // TSX
        // TSX
        alu_opcode = TSX_IMP;
        alu_opcode = TSX_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_x_expected = alu_a;
        alu_x_expected = alu_a;
        //alu_result_expected = alu_a;
        //alu_result_expected = alu_a;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_x_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_x_expected[7];
        alu_status_expected[N] = alu_x_expected[7];
        check();
        check();
 
 
        // TXA
        // TXA
        alu_opcode = TXA_IMP;
        alu_opcode = TXA_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_result_expected = alu_x_expected;
        alu_result_expected = alu_x_expected;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_result_expected[7];
        alu_status_expected[N] = alu_result_expected[7];
        check();
        check();
 
 
        // TXS
        // TXS
        alu_opcode = TXS_IMP;
        alu_opcode = TXS_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_result_expected = alu_x_expected;
        alu_result_expected = alu_x_expected;
        check();
        check();
 
 
        // TYA
        // TYA
        alu_opcode = TYA_IMP;
        alu_opcode = TYA_IMP;
        @(negedge clk);
        @(negedge clk);
        alu_result_expected = alu_y_expected;
        alu_result_expected = alu_y_expected;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[Z] = (alu_result_expected == 0) ? 1 : 0;
        alu_status_expected[N] = alu_result_expected[7];
        alu_status_expected[N] = alu_result_expected[7];
        check();
        check();
 
 
        // Nothing should happen
        // Nothing should happen
        // BCC
        // BCC
        alu_opcode = BCC_REL;
        alu_opcode = BCC_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // BCS
        // BCS
        alu_opcode = BCS_REL;
        alu_opcode = BCS_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // BEQ
        // BEQ
        alu_opcode = BEQ_REL;
        alu_opcode = BEQ_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // BMI
        // BMI
        alu_opcode = BMI_REL;
        alu_opcode = BMI_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // BNE
        // BNE
        alu_opcode = BNE_REL;
        alu_opcode = BNE_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // BPL
        // BPL
        alu_opcode = BPL_REL;
        alu_opcode = BPL_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // BVC
        // BVC
        alu_opcode = BVC_REL;
        alu_opcode = BVC_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // BVS
        // BVS
        alu_opcode = BVS_REL;
        alu_opcode = BVS_REL;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // JMP
        // JMP
        alu_opcode = JMP_ABS;
        alu_opcode = JMP_ABS;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // JMP
        // JMP
        alu_opcode = JMP_IND;
        alu_opcode = JMP_IND;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // JSR
        // JSR
        alu_opcode = JSR_ABS;
        alu_opcode = JSR_ABS;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // NOP
        // NOP
        alu_opcode = NOP_IMP;
        alu_opcode = NOP_IMP;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        // RTS
        // RTS
        alu_opcode = RTS_IMP;
        alu_opcode = RTS_IMP;
        @(negedge clk);
        @(negedge clk);
        check();
        check();
 
 
        $display("TEST PASSED");
        $display("TEST PASSED");
        $finish;
        $finish;
end
end
 
 
endmodule
endmodule
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.