OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [rtl/] [verilog/] [test_top.v] - Diff between revs 225 and 226

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 225 Rev 226
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
////                                                                    ////
////                                                                    ////
//// t2600 IP Core                                                      ////
//// t2600 IP Core                                                      ////
////                                                                    ////
////                                                                    ////
//// This file is part of the t2600 project                             ////
//// This file is part of the t2600 project                             ////
//// http://www.opencores.org/cores/t2600/                              ////
//// http://www.opencores.org/cores/t2600/                              ////
////                                                                    ////
////                                                                    ////
//// Description                                                        ////
//// Description                                                        ////
//// VGA controller                                                     ////
//// VGA controller                                                     ////
////                                                                    ////
////                                                                    ////
//// TODO:                                                              ////
//// TODO:                                                              ////
//// - Feed the controller with data                                    ////
//// - Feed the controller with data                                    ////
////                                                                    ////
////                                                                    ////
//// Author(s):                                                         ////
//// Author(s):                                                         ////
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
//// - Gabriel Oshiro Zardo, gabrieloshiro@gmail.com                    ////
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
//// - Samuel Nascimento Pagliarini (creep), snpagliarini@gmail.com     ////
////                                                                    ////
////                                                                    ////
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
////                                                                    ////
////                                                                    ////
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
//// Copyright (C) 2001 Authors and OPENCORES.ORG                       ////
////                                                                    ////
////                                                                    ////
//// This source file may be used and distributed without               ////
//// This source file may be used and distributed without               ////
//// restriction provided that this copyright statement is not          ////
//// restriction provided that this copyright statement is not          ////
//// removed from the file and that any derivative work contains        ////
//// removed from the file and that any derivative work contains        ////
//// the original copyright notice and the associated disclaimer.       ////
//// the original copyright notice and the associated disclaimer.       ////
////                                                                    ////
////                                                                    ////
//// This source file is free software; you can redistribute it         ////
//// This source file is free software; you can redistribute it         ////
//// and/or modify it under the terms of the GNU Lesser General         ////
//// and/or modify it under the terms of the GNU Lesser General         ////
//// Public License as published by the Free Software Foundation;       ////
//// Public License as published by the Free Software Foundation;       ////
//// either version 2.1 of the License, or (at your option) any         ////
//// either version 2.1 of the License, or (at your option) any         ////
//// later version.                                                     ////
//// later version.                                                     ////
////                                                                    ////
////                                                                    ////
//// This source is distributed in the hope that it will be             ////
//// This source is distributed in the hope that it will be             ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied         ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR            ////
//// PURPOSE. See the GNU Lesser General Public License for more        ////
//// PURPOSE. See the GNU Lesser General Public License for more        ////
//// details.                                                           ////
//// details.                                                           ////
////                                                                    ////
////                                                                    ////
//// You should have received a copy of the GNU Lesser General          ////
//// You should have received a copy of the GNU Lesser General          ////
//// Public License along with this source; if not, download it         ////
//// Public License along with this source; if not, download it         ////
//// from http://www.opencores.org/lgpl.shtml                           ////
//// from http://www.opencores.org/lgpl.shtml                           ////
////                                                                    ////
////                                                                    ////
////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////
 
 
`include "timescale.v"
`include "timescale.v"
 
 
module test_top(reset, clk_50, SW, VGA_R, VGA_G, VGA_B, LEDR, VGA_VS, VGA_HS);
module test_top(reset_n, clk_50, SW, VGA_R, VGA_G, VGA_B, LEDR, VGA_VS, VGA_HS);
 
 
input reset;
input reset_n;
input clk_50;
input clk_50;
input [8:0] SW;
input [8:0] SW;
output [3:0] VGA_R;
output [3:0] VGA_R;
output [3:0] VGA_G;
output [3:0] VGA_G;
output [3:0] VGA_B;
output [3:0] VGA_B;
output [9:0] LEDR;
output [9:0] LEDR;
output VGA_VS;
output VGA_VS;
output VGA_HS;
output VGA_HS;
 
 
//wire [479:0] line;
wire [479:0] line;
//wire [4:0] vert_counter;
wire [4:0] vert_counter;
 
 
        vga_controller vga_controller (
        vga_controller vga_controller (
                .reset(reset),
                .reset_n(reset_n),
                .clk_50(clk_50),
                .clk_50(clk_50),
                .line(line),
                .line(line),
                .vert_counter(vert_counter),
 
                .SW(SW),
                .SW(SW),
                .VGA_R(VGA_R),
                .VGA_R(VGA_R),
                .VGA_G(VGA_G),
                .VGA_G(VGA_G),
                .VGA_B(VGA_B),
                .VGA_B(VGA_B),
                .LEDR(LEDR),
                .LEDR(LEDR),
                .VGA_VS(VGA_VS),
                .VGA_VS(VGA_VS),
                .VGA_HS(VGA_HS)
                .VGA_HS(VGA_HS),
 
                .vert_counter(vert_counter)
        );
        );
 
 
        controller_test controller_test (
        controller_test controller_test (
                .reset(reset),
                .reset_n(reset_n),
                .clk_50(clk_50),
                .clk_50(clk_50),
                .line(line),
                .line(line),
                .vert_counter(vert_counter)
                .vert_counter(vert_counter)
        );
        );
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.