OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [beta_2.0/] [regressions/] [single_core/] [test_omem_1.vp] - Diff between revs 218 and 230

Only display areas with differences | Details | Blame | View Log

Rev 218 Rev 230
vector v1,v2,v3;
vector v1,v2,v3;
v1 = (0xcafe,0xcafe,0xcafe);
v1 = (0xcafe,0xcafe,0xcafe);
v2 = (0x1E11,0x1E11,0x1E11);
v2 = (0x1E11,0x1E11,0x1E11);
v3 = 0xbabe;
v3 = 0xbabe;
out[0] = v1 - v2;               //0xaced
out [0] = v1 - v2;              //0xaced
out[1] = v1;                    //0xcafe
out [1] = v1;                   //0xcafe
out[2] = v3;                    //0xbabe
out [2] = v3;                   //0xbabe
v3.x = 4;
v3.x = 4;
v3.y = 5;
v3.y = 5;
v3.z = 6;
v3.z = 6;
v1.x = 0xbeef;
v1.x = 0xbeef;
v1.y = 0xfeed;
v1.y = 0xfeed;
v1.z = 0xfaaa;
v1.z = 0xfaaa;
out[ v3 ] = v1;
out [ v3 ] = v1;
v2 = v1;
v2 = v1;
v2 = v1;
v2 = v1;
v2 = v1;
v2 = v1;
v2 = v1;
v2 = v1;
v2 = v1;
v2 = v1;
 
 
 
//Let's write more stuff into some random locations
 
v1.x = 10;
 
v1.y = 11;
 
v1.z = 12;
 
 
 
v2 = 0xaced;
 
while (v1.xxx < 30)
 
{
 
        out [v1] = v2;
 
        v1 += 3;
 
}
 
 
exit;
exit;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.