OpenCores
URL https://opencores.org/ocsvn/theia_gpu/theia_gpu/trunk

Subversion Repositories theia_gpu

[/] [theia_gpu/] [branches/] [icarus_version/] [rtl/] [Module_InstructionEntryPoint.v] - Diff between revs 158 and 166

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 158 Rev 166
 
`timescale 1ns / 1ps
 
`include "aDefinitions.v"
 
module InstructionEntryPoint
 
(
 
input wire                          Clock,
 
input wire                                                                 Reset,
 
input wire                                                                 iTrigger,
 
input wire[`ROM_ADDRESS_WIDTH-1:0]  iInitialCodeAddress,
 
input wire [`INSTRUCTION_WIDTH-1:0] iIMemInput,
 
 
 
output wire                          oEPU_Busy,
 
output wire [`ROM_ADDRESS_WIDTH-1:0] oEntryPoint,
 
output wire                          oTriggerIFU,
 
output wire [`ROM_ADDRESS_WIDTH-1:0] oInstructionAddr
 
);
 
 
 
assign oInstructionAddr = (oTriggerIFU) ? oEntryPoint : iInitialCodeAddress;
 
assign oEPU_Busy = iTrigger | oTriggerIFU;
 
 
 
 
 
 
 
FFD_POSEDGE_ASYNC_RESET # ( 1 ) FFD1
 
(
 
.Clock(Clock),
 
.Clear( Reset ),
 
.D(iTrigger),
 
.Q(oTriggerIFU)
 
);
 
 
 
assign oEntryPoint = (oTriggerIFU) ? iIMemInput[`ROM_ADDRESS_WIDTH-1:0] : `ROM_ADDRESS_WIDTH'b0;
 
 
 
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.