OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [design/] [AlteraDK1/] [instMem.cmp] - Diff between revs 2 and 9

Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 9
--Copyright (C) 1991-2011 Altera Corporation
--Copyright (C) 1991-2011 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors.  Please refer to the
--Altera or its authorized distributors.  Please refer to the
--applicable agreement for further details.
--applicable agreement for further details.
component instMem
component instMem
        PORT
        PORT
        (
        (
                address         : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
                address         : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
                data            : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
                data            : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
                inclock         : IN STD_LOGIC  := '1';
                inclock         : IN STD_LOGIC  := '1';
                outclock                : IN STD_LOGIC ;
                outclock                : IN STD_LOGIC ;
                wren            : IN STD_LOGIC ;
                wren            : IN STD_LOGIC ;
                q               : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
                q               : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
        );
        );
end component;
end component;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.