OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [rtl/] [app_localcfg/] [lcfg.v] - Diff between revs 101 and 103

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 101 Rev 103
/* Copyright (c) 2011, Guy Hutchison
/* Copyright (c) 2011, Guy Hutchison
   All rights reserved.
   All rights reserved.
 
 
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
 
 
    * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
    * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
    * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
    * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
    * Neither the name of the author nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission.
    * Neither the name of the author nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission.
 
 
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
*/
*/
 
 
//----------------------------------------------------------------------
//----------------------------------------------------------------------
//  Author: Guy Hutchison
//  Author: Guy Hutchison
//
//
//  Local Configuration Processor Application
//  Local Configuration Processor Application
//----------------------------------------------------------------------
//----------------------------------------------------------------------
 
 
module lcfg
module lcfg
  (input         clk,
  (input         clk,
   input         reset_n,
   input         reset_n,
 
   input         lcfg_init,  // initialize memory to all 0
   input         lcfg_proc_reset,
   input         lcfg_proc_reset,
   input         scan_mode,
 
   input         scan_enable,
 
 
 
   // incoming config interface to 
   // incoming config interface to 
   // read/write processor memory
   // read/write processor memory
   input         cfgi_irdy,
   input         cfgi_irdy,
   output        cfgi_trdy,
   output        cfgi_trdy,
   input [14:0]  cfgi_addr,
   input [14:0]  cfgi_addr,
   input         cfgi_write,
   input         cfgi_write,
   input [31:0]  cfgi_wr_data,
   input [31:0]  cfgi_wr_data,
   output [31:0] cfgi_rd_data,
   output [31:0] cfgi_rd_data,
 
 
   // outgoing config interface to system
   // outgoing config interface to system
   // configuration bus
   // configuration bus
   output        cfgo_irdy,
   output        cfgo_irdy,
   input         cfgo_trdy,
   input         cfgo_trdy,
   output [15:0] cfgo_addr,
   output [15:0] cfgo_addr,
   output        cfgo_write,
   output        cfgo_write,
   output [31:0] cfgo_wr_data,
   output [31:0] cfgo_wr_data,
   input [31:0]  cfgo_rd_data
   input [31:0]  cfgo_rd_data
 
 
   );
   );
 
 
  wire [15:0]     addr;
  wire [15:0]     addr;
  wire [7:0]      dout;
  wire [7:0]      dout;
  reg [7:0]       di;
  reg [7:0]       di;
  wire           mreq_n;
  wire           mreq_n;
  wire           rd_n, wr_n;
  wire           rd_n, wr_n;
  wire           iorq_n;
  wire           iorq_n;
 
 
  reg            fw_mode;
  reg            fw_mode;
  wire           ram_wait_n;
  wire           ram_wait_n;
 
 
  wire           fw_en = (addr[15:13] == {1'b0, !fw_mode, 1'b0}) && !mreq_n;
  wire           fw_en = (addr[15:13] == {1'b0, !fw_mode, 1'b0}) && !mreq_n;
  wire           fw_we = !wr_n;
  wire           fw_we = !wr_n;
 
 
  wire [7:0]      ram_rd_data;
  wire [7:0]      ram_rd_data;
  reg            last_wait;
  reg            last_wait;
  reg            wait_n;
  reg            wait_n;
 
 
  wire [7:0]     reg_addr1, reg_addr0;
  wire [7:0]     reg_addr1, reg_addr0;
  wire [7:0]     reg_wr_data3;
  wire [7:0]     reg_wr_data3;
  wire [7:0]     reg_wr_data2;
  wire [7:0]     reg_wr_data2;
  wire [7:0]     reg_wr_data1;
  wire [7:0]     reg_wr_data1;
  wire [7:0]     reg_wr_data0;
  wire [7:0]     reg_wr_data0;
  wire [7:0]     cb_rd_data;
  wire [7:0]     cb_rd_data;
  wire [1:0]     cb_control;
  wire [1:0]     cb_control;
  reg [1:0]      cb_control_clr;
  reg [1:0]      cb_control_clr;
  wire [7:0]     tim_rd_data;
  wire [7:0]     tim_rd_data;
  wire [1:0]     fw_up_ctrl;
  wire [1:0]     fw_up_ctrl;
  wire           dma_iorq_n;
  wire           dma_iorq_n;
  wire [7:0]     dma_rd_data;
  wire [7:0]     dma_rd_data;
  reg [31:0]     read_hold;
  reg [31:0]     read_hold;
  reg            read_latch;
  reg            read_latch;
  wire           dma_int_n;              // From dma of mx_lcfg_dma.v
  wire           dma_int_n;              // From dma of mx_lcfg_dma.v
  wire           proc_reset_n;
  wire           proc_reset_n;
  /*AUTOWIRE*/
  /*AUTOWIRE*/
  // Beginning of automatic wires (for undeclared instantiated-module outputs)
  // Beginning of automatic wires (for undeclared instantiated-module outputs)
  wire [7:0]            cd_rdata;               // From cfgo_driver of lcfg_cfgo_driver.v
  wire [7:0]            cd_rdata;               // From cfgo_driver of lcfg_cfgo_driver.v
  wire                  cfgo_wait_n;            // From cfgo_driver of lcfg_cfgo_driver.v
  wire                  cfgo_wait_n;            // From cfgo_driver of lcfg_cfgo_driver.v
  // End of automatics
  // End of automatics
  wire           ram_mreq_n;
  wire           ram_mreq_n;
 
 
  assign ram_mreq_n = ~ (~mreq_n & ~addr[15]);
  assign ram_mreq_n = ~ (~mreq_n & ~addr[15]);
  assign         proc_reset_n = (scan_mode) ? 1'b1 : ~lcfg_proc_reset;
  assign         proc_reset_n = ~lcfg_proc_reset;
 
 
  tv80s tv80 (
  tv80s tv80 (
     // Outputs
     // Outputs
     .dout                              (dout),
     .dout                              (dout),
     .m1_n                              (),
     .m1_n                              (),
     .mreq_n                            (mreq_n),
     .mreq_n                            (mreq_n),
     .iorq_n                            (iorq_n),
     .iorq_n                            (iorq_n),
     .rd_n                              (rd_n),
     .rd_n                              (rd_n),
     .wr_n                              (wr_n),
     .wr_n                              (wr_n),
     .rfsh_n                            (),
     .rfsh_n                            (),
     .halt_n                            (),
     .halt_n                            (),
     .busak_n                           (),
     .busak_n                           (),
     .A                                 (addr),
     .A                                 (addr),
     // Inputs
     // Inputs
     .reset_n                           (proc_reset_n),
     .reset_n                           (proc_reset_n),
     .clk                               (clk),
     .clk                               (clk),
     .wait_n                            (wait_n),
     .wait_n                            (wait_n),
     .int_n                             (dma_int_n),
     .int_n                             (dma_int_n),
     .nmi_n                             (1'b1),
     .nmi_n                             (1'b1),
     .busrq_n                           (1'b1),
     .busrq_n                           (1'b1),
     .di                                (di));
     .di                                (di));
 
 
  always @(posedge clk)
  always @(posedge clk)
    begin
    begin
      last_wait <= #1 wait_n;
      last_wait <= #1 wait_n;
    end
    end
 
 
  always @*
  always @*
    begin
    begin
      wait_n = 1;
      wait_n = 1;
 
 
      if (!mreq_n)
      if (!mreq_n)
        begin
        begin
          if (~ram_mreq_n)
          if (~ram_mreq_n)
            begin
            begin
              di = ram_rd_data[7:0];
              di = ram_rd_data[7:0];
              wait_n = ram_wait_n;
              wait_n = ram_wait_n;
            end
            end
          else
          else
            begin
            begin
              di = 8'h0;
              di = 8'h0;
            end
            end
        end
        end
      else if (!iorq_n)
      else if (!iorq_n)
        begin
        begin
          if (addr[7:3] == 0)
          if (addr[7:3] == 0)
            begin
            begin
              di = cd_rdata;
              di = cd_rdata;
              wait_n = cfgo_wait_n;
              wait_n = cfgo_wait_n;
            end
            end
          else
          else
            di = 8'h0;
            di = 8'h0;
        end
        end
      else
      else
        di = 8'h0;
        di = 8'h0;
    end // always @ *
    end // always @ *
 
 
/*  lcfg_memctl AUTO_TEMPLATE
/*  lcfg_memctl AUTO_TEMPLATE
    (
    (
     // Outputs
     // Outputs
     .a_mreq_n                          (ram_mreq_n),
     .a_mreq_n                          (ram_mreq_n),
     .a_rd_n                            (rd_n),
     .a_rd_n                            (rd_n),
     .a_wr_n                            (wr_n),
     .a_wr_n                            (wr_n),
     .a_addr                            (addr[14:0]),
     .a_addr                            (addr[14:0]),
     .a_wdata                           (dout),
     .a_wdata                           (dout),
     .a_wait_n                          (ram_wait_n),
     .a_wait_n                          (ram_wait_n),
     .a_rdata                           (ram_rd_data),
     .a_rdata                           (ram_rd_data),
 
 
     .b_wait_n                          (),
     .b_wait_n                          (),
     .b_rdata                           (),
     .b_rdata                           (),
     .b_mreq_n                          (1'b1),
     .b_mreq_n                          (1'b1),
     .b_wr_n                            (1'b1),
     .b_wr_n                            (1'b1),
     .b_addr                            (13'h0),
     .b_addr                            (13'h0),
     .b_wdata                           (32'h0),
     .b_wdata                           (32'h0),
 
 
    );
    );
*/
*/
  lcfg_memctl memctl
  lcfg_memctl memctl
    (/*AUTOINST*/
    (/*AUTOINST*/
     // Outputs
     // Outputs
     .a_wait_n                          (ram_wait_n),            // Templated
     .a_wait_n                          (ram_wait_n),            // Templated
     .a_rdata                           (ram_rd_data),           // Templated
     .a_rdata                           (ram_rd_data),           // Templated
     .b_wait_n                          (),                      // Templated
     .b_wait_n                          (),                      // Templated
     .b_rdata                           (),                      // Templated
     .b_rdata                           (),                      // Templated
     .cfgi_trdy                         (cfgi_trdy),
     .cfgi_trdy                         (cfgi_trdy),
     .cfgi_rd_data                      (cfgi_rd_data[31:0]),
     .cfgi_rd_data                      (cfgi_rd_data[31:0]),
     // Inputs
     // Inputs
     .clk                               (clk),
     .clk                               (clk),
     .reset_n                           (reset_n),
     .reset_n                           (reset_n),
     .a_mreq_n                          (ram_mreq_n),            // Templated
     .a_mreq_n                          (ram_mreq_n),            // Templated
     .a_rd_n                            (rd_n),                  // Templated
     .a_rd_n                            (rd_n),                  // Templated
     .a_wr_n                            (wr_n),                  // Templated
     .a_wr_n                            (wr_n),                  // Templated
     .a_addr                            (addr[14:0]),            // Templated
     .a_addr                            (addr[14:0]),            // Templated
     .a_wdata                           (dout),                  // Templated
     .a_wdata                           (dout),                  // Templated
     .b_mreq_n                          (1'b1),                  // Templated
     .b_mreq_n                          (1'b1),                  // Templated
     .b_wr_n                            (1'b1),                  // Templated
     .b_wr_n                            (1'b1),                  // Templated
     .b_addr                            (13'h0),                 // Templated
     .b_addr                            (13'h0),                 // Templated
     .b_wdata                           (32'h0),                 // Templated
     .b_wdata                           (32'h0),                 // Templated
     .lcfg_init                         (lcfg_init),
     .lcfg_init                         (lcfg_init),
     .cfgi_irdy                         (cfgi_irdy),
     .cfgi_irdy                         (cfgi_irdy),
     .cfgi_addr                         (cfgi_addr[14:0]),
     .cfgi_addr                         (cfgi_addr[14:0]),
     .cfgi_write                        (cfgi_write),
     .cfgi_write                        (cfgi_write),
     .cfgi_wr_data                      (cfgi_wr_data[31:0]),
     .cfgi_wr_data                      (cfgi_wr_data[31:0]),
     .test_resume_h                     (test_resume_h));
     .test_resume_h                     (test_resume_h));
 
 
  // DMA not needed
  // DMA not needed
  // Need GP interrupt register for timer
  // Need GP interrupt register for timer
 
 
  assign cfg_addr = { reg_addr1, reg_addr0 };
  assign cfg_addr = { reg_addr1, reg_addr0 };
  assign cfg_wr_data = { reg_wr_data3, reg_wr_data2, reg_wr_data1, reg_wr_data0 };
  assign cfg_wr_data = { reg_wr_data3, reg_wr_data2, reg_wr_data1, reg_wr_data0 };
 
 
/* lcfg_cfgo_driver AUTO_TEMPLATE
/* lcfg_cfgo_driver AUTO_TEMPLATE
 (
 (
     .cd_wdata                          (dout[7:0]),
     .cd_wdata                          (dout[7:0]),
 );
 );
 */
 */
  lcfg_cfgo_driver #(.io_base_addr(8'h0)) cfgo_driver
  lcfg_cfgo_driver #(.io_base_addr(8'h0)) cfgo_driver
    (/*AUTOINST*/
    (/*AUTOINST*/
     // Outputs
     // Outputs
     .cd_rdata                          (cd_rdata[7:0]),
     .cd_rdata                          (cd_rdata[7:0]),
     .cfgo_wait_n                       (cfgo_wait_n),
     .cfgo_wait_n                       (cfgo_wait_n),
     .cfgo_irdy                         (cfgo_irdy),
     .cfgo_irdy                         (cfgo_irdy),
     .cfgo_addr                         (cfgo_addr[15:0]),
     .cfgo_addr                         (cfgo_addr[15:0]),
     .cfgo_write                        (cfgo_write),
     .cfgo_write                        (cfgo_write),
     .cfgo_wr_data                      (cfgo_wr_data[31:0]),
     .cfgo_wr_data                      (cfgo_wr_data[31:0]),
     // Inputs
     // Inputs
     .clk                               (clk),
     .clk                               (clk),
     .reset_n                           (reset_n),
     .reset_n                           (reset_n),
     .addr                              (addr[15:0]),
     .addr                              (addr[15:0]),
     .cd_wdata                          (dout[7:0]),             // Templated
     .cd_wdata                          (dout[7:0]),             // Templated
     .mreq_n                            (mreq_n),
     .mreq_n                            (mreq_n),
     .rd_n                              (rd_n),
     .rd_n                              (rd_n),
     .wr_n                              (wr_n),
     .wr_n                              (wr_n),
     .iorq_n                            (iorq_n),
     .iorq_n                            (iorq_n),
     .cfgo_trdy                         (cfgo_trdy),
     .cfgo_trdy                         (cfgo_trdy),
     .cfgo_rd_data                      (cfgo_rd_data[31:0]));
     .cfgo_rd_data                      (cfgo_rd_data[31:0]));
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.