OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [_xmsgs/] [xst.xmsgs] - Diff between revs 32 and 34

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 32 Rev 34
     users do not edit the contents of this file. -->
 
 
Unit work/INTERCON_P2P is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/INTERCON_P2P.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/INTERCON_P2P.vhd".
"E:/uart_block/hdl/iseProject/INTERCON_P2P.vhd" line 80: Unconnected output port 'CYC_O' of component 'SERIALMASTER'.
 
 
 
 
Unit work/INTERCON_P2P/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/INTERCON_P2P.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/INTERCON_P2P.vhd".
"E:/uart_block/hdl/iseProject/INTERCON_P2P.vhd" line 80: Unconnected output port 'SEL_O' of component 'SERIALMASTER'.
 
 
 
 
Unit work/SERIALMASTER is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/SERIALMASTER.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/SERIALMASTER.vhd".
"E:/uart_block/hdl/iseProject/INTERCON_P2P.vhd" line 95: Unconnected output port 'data_Avaible' of component 'uart_wishbone_slave'.
 
 
 
 
Unit work/SERIALMASTER/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/SERIALMASTER.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/SERIALMASTER.vhd".
"E:/uart_block/hdl/iseProject/SERIALMASTER.vhd" line 46: Width mismatch. <byteIncome> has a width of 8 bits but assigned expression is 32-bit wide.
 
 
 
 
Unit work/SYC0001a is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/SYC0001a.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/SYC0001a.vhd".
"E:/uart_block/hdl/iseProject/uart_control.vhd" line 62: Unconnected output port 'reminder' of component 'divisor'.
 
 
 
 
Unit work/SYC0001a/SYC0001a1 is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/SYC0001a.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/SYC0001a.vhd".
 
 
 
 
 
Unit work/uart_wishbone_slave is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/uart_wishbone_slave.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/uart_wishbone_slave.vhd".
 
 
 
 
 
Unit work/uart_wishbone_slave/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/uart_wishbone_slave.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/uart_wishbone_slave.vhd".
 
 
 
 
 
Unit work/uart_communication_blocks is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/uart_communication_blocks.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/uart_communication_blocks.vhd".
 
 
 
 
 
Unit work/uart_communication_blocks/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/uart_communication_blocks.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/uart_communication_blocks.vhd".
 
 
 
 
 
Unit work/uart_control is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/uart_control.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/uart_control.vhd".
 
 
 
 
 
Unit work/uart_control/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/uart_control.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/uart_control.vhd".
 
 
 
 
 
Unit work/baud_generator is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/baud_generator.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/baud_generator.vhd".
 
 
 
 
 
Unit work/baud_generator/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/baud_generator.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/baud_generator.vhd".
 
 
 
 
 
Unit work/divisor is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/divisor.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/divisor.vhd".
 
 
 
 
 
Unit work/divisor/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/divisor.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/divisor.vhd".
 
 
 
 
 
Unit work/serial_receiver is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/serial_receiver.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/serial_receiver.vhd".
 
 
 
 
 
Unit work/serial_receiver/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/serial_receiver.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/serial_receiver.vhd".
 
 
 
 
 
Unit work/serial_transmitter is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/serial_transmitter.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/serial_transmitter.vhd".
 
 
 
 
 
Unit work/serial_transmitter/Behavioral is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/serial_transmitter.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/serial_transmitter.vhd".
 
 
 
 
 
Unit work/pkgDefinitions is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/pkgDefinitions.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/pkgDefinitions.vhd".
 
 
 
 
 
Unit work/pkgDefinitions is now defined in a different file.  It was defined in "E:/uart_block/hdl/iseProject/pkgDefinitions.vhd", and is now defined in "/home/laraujo/work/uart_block/hdl/iseProject/pkgDefinitions.vhd".
 
 
 
 
 
"/home/laraujo/work/uart_block/hdl/iseProject/INTERCON_P2P.vhd" line 80: Unconnected output port 'CYC_O' of component 'SERIALMASTER'.
 
 
 
 
 
"/home/laraujo/work/uart_block/hdl/iseProject/INTERCON_P2P.vhd" line 80: Unconnected output port 'SEL_O' of component 'SERIALMASTER'.
 
 
 
 
 
"/home/laraujo/work/uart_block/hdl/iseProject/INTERCON_P2P.vhd" line 95: Unconnected output port 'data_Avaible' of component 'uart_wishbone_slave'.
 
 
 
 
 
"/home/laraujo/work/uart_block/hdl/iseProject/SERIALMASTER.vhd" line 46: Width mismatch. <byteIncome> has a width of 8 bits but assigned expression is 32-bit wide.
 
 
 
 
 
"/home/laraujo/work/uart_block/hdl/iseProject/uart_control.vhd" line 62: Unconnected output port 'reminder' of component 'divisor'.
 
 
 
Input <DAT_I<31:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Input <DAT_I<31:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Output <CYC_O> is never assigned. Tied to value 0.
Output <CYC_O> is never assigned. Tied to value 0.
Output <SEL_O> is never assigned. Tied to value 0.
Output <SEL_O> is never assigned. Tied to value 0.
 
 
Output <data_avaible> is never assigned.
Input <baudClk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
 
 
 
 
The FF/Latch <cycle_wait_oversample_24> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_25> <half_cycle0_23> 
Signal <getPoint> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
 
 
 
 
The FF/Latch <cycle_wait_oversample_7> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_8> <half_cycle0_6> 
Output <data_avaible> is never assigned.
 
 
 
 
The FF/Latch <cycle_wait_oversample_19> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_20> <half_cycle0_18> 
The FF/Latch <cycle_wait_oversample_23> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_25> <half_cycle0_22> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_20> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_21> <half_cycle0_19> 
The FF/Latch <cycle_wait_oversample_14> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_16> <half_cycle0_13> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_15> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_16> <half_cycle0_14> 
The FF/Latch <cycle_wait_oversample_10> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_12> <half_cycle0_9> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_0> in Unit <uBaudGen> is equivalent to the following FF/Latch, which will be removed : <half_cycle_1> 
The FF/Latch <cycle_wait_oversample_1> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_3> <half_cycle0_0> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_29> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_30> <half_cycle0_28> 
The FF/Latch <cycle_wait_oversample_4> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_6> <half_cycle0_3> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_3> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_4> <half_cycle0_2> 
The FF/Latch <cycle_wait_oversample_28> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_30> <half_cycle0_27> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_27> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_28> <half_cycle0_26> 
The FF/Latch <cycle_wait_oversample_24> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_26> <half_cycle0_23> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_23> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_24> <half_cycle0_22> 
The FF/Latch <cycle_wait_oversample_19> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_21> <half_cycle0_18> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_8> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_9> <half_cycle0_7> 
The FF/Latch <cycle_wait_oversample_22> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_24> <half_cycle0_21> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_5> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_6> <half_cycle0_4> 
The FF/Latch <cycle_wait_oversample_13> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_15> <half_cycle0_12> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_18> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_19> <half_cycle0_17> 
The FF/Latch <cycle_wait_oversample_0> in Unit <uBaudGen> is equivalent to the following FF/Latch, which will be removed : <half_cycle_2> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_14> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_15> <half_cycle0_13> 
The FF/Latch <cycle_wait_oversample_27> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_29> <half_cycle0_26> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_28> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_29> <half_cycle0_27> 
The FF/Latch <cycle_wait_oversample_2> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_4> <half_cycle0_1> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_26> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_27> <half_cycle0_25> 
The FF/Latch <cycle_wait_oversample_29> in Unit <uBaudGen> is equivalent to the following 7 FFs/Latches, which will be removed : <cycle_wait_oversample_31> <cycle_wait_oversample_30> <half_cycle_31> <half_cycle0_31> <half_cycle0_30> <half_cycle0_29> <half_cycle0_28> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_1> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_2> <half_cycle0_0> 
The FF/Latch <cycle_wait_oversample_18> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_20> <half_cycle0_17> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_22> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_23> <half_cycle0_21> 
The FF/Latch <cycle_wait_oversample_8> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_10> <half_cycle0_7> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_17> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_18> <half_cycle0_16> 
The FF/Latch <cycle_wait_oversample_5> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_7> <half_cycle0_4> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_31> in Unit <uBaudGen> is equivalent to the following 5 FFs/Latches, which will be removed : <cycle_wait_oversample_30> <half_cycle_31> <half_cycle0_31> <half_cycle0_30> <half_cycle0_29> 
The FF/Latch <cycle_wait_oversample_21> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_23> <half_cycle0_20> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_13> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_14> <half_cycle0_12> 
The FF/Latch <cycle_wait_oversample_16> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_18> <half_cycle0_15> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_9> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_10> <half_cycle0_8> 
The FF/Latch <cycle_wait_oversample_12> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_14> <half_cycle0_11> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_6> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_7> <half_cycle0_5> 
The FF/Latch <cycle_wait_oversample_26> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_28> <half_cycle0_25> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_11> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_12> <half_cycle0_10> 
The FF/Latch <cycle_wait_oversample_7> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_9> <half_cycle0_6> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_25> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_26> <half_cycle0_24> 
The FF/Latch <cycle_wait_oversample_17> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_19> <half_cycle0_16> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_21> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_22> <half_cycle0_20> 
The FF/Latch <cycle_wait_oversample_20> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_22> <half_cycle0_19> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_16> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_17> <half_cycle0_15> 
The FF/Latch <cycle_wait_oversample_15> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_17> <half_cycle0_14> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_2> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_3> <half_cycle0_1> 
The FF/Latch <cycle_wait_oversample_3> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_5> <half_cycle0_2> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_12> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_13> <half_cycle0_11> 
The FF/Latch <cycle_wait_oversample_9> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_11> <half_cycle0_8> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_10> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_11> <half_cycle0_9> 
The FF/Latch <cycle_wait_oversample_11> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_13> <half_cycle0_10> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_4> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_5> <half_cycle0_3> 
The FF/Latch <cycle_wait_oversample_6> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_8> <half_cycle0_5> 
 
 
 
 
 
The FF/Latch <cycle_wait_oversample_25> in Unit <uBaudGen> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_27> <half_cycle0_24> 
 
 
FF/Latch <N_24> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_24> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_18> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_18> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_11> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_11> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_10> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_10> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_8> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_8> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_clk_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <config_baud_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
 
 
FF/Latch <cycle_wait_oversample_31> (without init value) has a constant value of 0 in block <uBaudGen>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <cycle_wait_oversample_29> (without init value) has a constant value of 0 in block <uBaudGen>. This FF/Latch will be trimmed during the optimization process.
 
 
FF/Latch <DAT_O_8> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_8> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_10> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_10> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_11> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_11> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_18> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_18> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_24> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_24> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_26> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_26> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_27> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_27> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_28> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_28> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_29> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_29> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_30> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_30> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_31> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_31> (without init value) has a constant value of 0 in block <uMasterSerial>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_31> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_31> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_28> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_28> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_30> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_30> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_27> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_27> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_28> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_28> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_26> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_26> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_29> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_29> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_30> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_30> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_31> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <N_31> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_8> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_8> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_29> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_29> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_27> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_27> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_26> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_26> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_10> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_10> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_11> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_11> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_24> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_24> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_18> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <D_18> (without init value) has a constant value of 0 in block <uDiv>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivDividend_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_31> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_30> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_29> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_28> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_27> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_26> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_24> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_18> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_11> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_10> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <sigDivNumerator_8> (without init value) has a constant value of 0 in block <uUartControl>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_8> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <DAT_O_8> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_10> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_10> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_11> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_11> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_18> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_18> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_24> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_24> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_26> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_26> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_27> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_27> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_28> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_28> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_29> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_29> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_30> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_30> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_31> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <DAT_O_31> (without init value) has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
 
 
FF/Latch <cycle_wait_oversample_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <cycle_wait_oversample_29> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
 
 
 
 
 
Due to other FF/Latch trimming, FF/Latch <cycle_wait_oversample_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
 
 
Due to other FF/Latch trimming, FF/Latch <cycle_wait_oversample_30> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycle_wait_oversample_30> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle0_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle0_31> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle0_30> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle0_30> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle0_29> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <half_cycle0_29> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
 
 
The FF/Latch <cycle_wait_oversample_24> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_25> <half_cycle0_23> 
Due to other FF/Latch trimming, FF/Latch <half_cycle0_28> (without init value) has a constant value of 0 in block <baud_generator>. This FF/Latch will be trimmed during the optimization process.
 
 
 
 
The FF/Latch <cycle_wait_oversample_7> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_8> <half_cycle0_6> 
The FF/Latch <cycle_wait_oversample_23> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_25> <half_cycle0_22> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_19> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_20> <half_cycle0_18> 
The FF/Latch <cycle_wait_oversample_14> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_16> <half_cycle0_13> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_20> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_21> <half_cycle0_19> 
The FF/Latch <cycle_wait_oversample_10> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_12> <half_cycle0_9> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_15> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_16> <half_cycle0_14> 
The FF/Latch <cycle_wait_oversample_1> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_3> <half_cycle0_0> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_0> in Unit <baud_generator> is equivalent to the following FF/Latch, which will be removed : <half_cycle_1> 
The FF/Latch <cycle_wait_oversample_4> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_6> <half_cycle0_3> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_29> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_30> <half_cycle0_28> 
The FF/Latch <cycle_wait_oversample_28> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_30> <half_cycle0_27> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_3> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_4> <half_cycle0_2> 
The FF/Latch <cycle_wait_oversample_24> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_26> <half_cycle0_23> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_27> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_28> <half_cycle0_26> 
The FF/Latch <cycle_wait_oversample_19> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_21> <half_cycle0_18> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_23> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_24> <half_cycle0_22> 
The FF/Latch <cycle_wait_oversample_22> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_24> <half_cycle0_21> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_8> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_9> <half_cycle0_7> 
The FF/Latch <cycle_wait_oversample_13> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_15> <half_cycle0_12> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_5> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_6> <half_cycle0_4> 
The FF/Latch <cycle_wait_oversample_0> in Unit <baud_generator> is equivalent to the following FF/Latch, which will be removed : <half_cycle_2> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_18> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_19> <half_cycle0_17> 
The FF/Latch <cycle_wait_oversample_27> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_29> <half_cycle0_26> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_14> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_15> <half_cycle0_13> 
The FF/Latch <cycle_wait_oversample_2> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_4> <half_cycle0_1> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_28> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_29> <half_cycle0_27> 
The FF/Latch <cycle_wait_oversample_18> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_20> <half_cycle0_17> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_26> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_27> <half_cycle0_25> 
The FF/Latch <cycle_wait_oversample_8> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_10> <half_cycle0_7> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_1> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_2> <half_cycle0_0> 
The FF/Latch <cycle_wait_oversample_5> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_7> <half_cycle0_4> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_22> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_23> <half_cycle0_21> 
The FF/Latch <cycle_wait_oversample_21> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_23> <half_cycle0_20> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_17> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_18> <half_cycle0_16> 
The FF/Latch <cycle_wait_oversample_16> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_18> <half_cycle0_15> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_13> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_14> <half_cycle0_12> 
The FF/Latch <cycle_wait_oversample_12> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_14> <half_cycle0_11> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_9> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_10> <half_cycle0_8> 
The FF/Latch <cycle_wait_oversample_26> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_28> <half_cycle0_25> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_6> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_7> <half_cycle0_5> 
The FF/Latch <cycle_wait_oversample_7> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_9> <half_cycle0_6> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_11> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_12> <half_cycle0_10> 
The FF/Latch <cycle_wait_oversample_17> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_19> <half_cycle0_16> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_25> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_26> <half_cycle0_24> 
The FF/Latch <cycle_wait_oversample_20> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_22> <half_cycle0_19> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_21> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_22> <half_cycle0_20> 
The FF/Latch <cycle_wait_oversample_15> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_17> <half_cycle0_14> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_16> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_17> <half_cycle0_15> 
The FF/Latch <cycle_wait_oversample_3> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_5> <half_cycle0_2> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_2> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_3> <half_cycle0_1> 
The FF/Latch <cycle_wait_oversample_9> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_11> <half_cycle0_8> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_12> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_13> <half_cycle0_11> 
The FF/Latch <cycle_wait_oversample_11> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_13> <half_cycle0_10> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_10> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_11> <half_cycle0_9> 
The FF/Latch <cycle_wait_oversample_6> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_8> <half_cycle0_5> 
 
 
 
 
The FF/Latch <cycle_wait_oversample_4> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_5> <half_cycle0_3> 
The FF/Latch <cycle_wait_oversample_25> in Unit <baud_generator> is equivalent to the following 2 FFs/Latches, which will be removed : <half_cycle_27> <half_cycle0_24> 
 
 
FF/Latch <cycles2Wait_0> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <cycles2Wait_0> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_1> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_1> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_2> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_2> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_3> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_3> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_4> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_4> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_5> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_5> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_23> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <cycles2Wait_23> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <nextState_1> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <nextState_1> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <nextState_5> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <nextState_5> has a constant value of 0 in block <SERIALMASTER>. This FF/Latch will be trimmed during the optimization process.
The FF/Latch <current_s_FSM_FFd1> in Unit <serial_receiver> is equivalent to the following FF/Latch, which will be removed : <data_ready> 
The FF/Latch <current_s_FSM_FFd1> in Unit <serial_receiver> is equivalent to the following FF/Latch, which will be removed : <data_ready> 
Unit uart_control: 32 internal tristates are replaced by logic (pull-up yes): 
Unit uart_control: 32 internal tristates are replaced by logic (pull-up yes): 
 
 
 
FF/Latch <waitBestPoint_3> has a constant value of 0 in block <serial_receiver>. This FF/Latch will be trimmed during the optimization process.
 
 
 
 
 
FF/Latch <waitBestPoint_3> has a constant value of 0 in block <serial_receiver>. This FF/Latch will be trimmed during the optimization process.
 
 
 
 
 
FF/Latch <waitBestPoint_3> has a constant value of 0 in block <serial_receiver>. This FF/Latch will be trimmed during the optimization process.
 
 
 
 
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_clk_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/config_baud_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivNumerator_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/sigDivDividend_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/D_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/uDiv/N_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Node <uUartWishboneSlave/uUartControl/uDiv/R_31> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/R_31> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_0> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_0> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_1> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_1> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_2> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_2> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_3> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_3> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_4> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_4> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_5> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_5> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_6> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_6> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_7> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_7> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_8> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_8> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_9> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_9> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_10> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_10> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_11> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_11> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_12> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_12> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_13> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_13> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_14> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_14> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_15> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_15> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_16> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_16> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_17> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_17> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_18> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_18> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_19> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_19> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_20> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_20> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_21> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_21> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_22> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_22> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_23> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_23> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_24> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_24> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_25> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_25> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_26> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_26> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_27> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_27> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_28> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_28> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_29> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_29> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_30> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_30> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_31> of sequential type is unconnected in block <INTERCON_P2P>.
Node <uUartWishboneSlave/uUartControl/uDiv/reminder_31> of sequential type is unconnected in block <INTERCON_P2P>.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
Due to other FF/Latch trimming, FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_31> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_30> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_29> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_28> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_27> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_26> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_24> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_18> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_11> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_10> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
FF/Latch <uUartWishboneSlave/uUartControl/Mtridata_DAT_O_8> (without init value) has a constant value of 0 in block <INTERCON_P2P>. This FF/Latch will be trimmed during the optimization process.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<11> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<11> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<18> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<18> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<24> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<24> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<26> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<26> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<27> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<27> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<28> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<28> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<29> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<29> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<30> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<30> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<31> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<31> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<8> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
in unit INTERCON_P2P Conflict on KEEP property on signal uUartWishboneSlave/uUartControl/Mtridata_DAT_O<8> and uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> uUartWishboneSlave/uUartControl/Mtridata_DAT_O<10> signal will be lost.
HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.