OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [xst/] [work/] [sub00/] [vhpl05.vho] - Diff between revs 4 and 11

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 4 Rev 11
H#(O0i:
H.OmX0i:
Behavioral,qA*64rY#).:0W(,vY:       current_sYpqmc:next_s#)pAmcv;.:filterRx.p*'v::syncDetected:p62SWS#*DOJ9oFQ*O\R  oN!6OS4H!L  q!D9*L       \ao"]6qS:\mao%iy*u1o'qI*yr=u1vUFTFT}T=rema<$yqqraY\Ao+Y6!S:\io-*i\o.6io0*r=Qr)Q<,i!!r9AQY\o4	6S:\ީo6*\yo76Io9a*1r=Irީy<51rYS:\A
o?	*ADYo@q6Ar
Y)<>AD9S4q8(oE$*8v0U4,T=0r!(a>#*2:qS6\~mcgaoOcyq~S4wzAsoQo1qzYd*rkIsr_ga<N~z[aL[6*:i(S
%#+Y@q@)'Q5A@)v\)@:byteReceivedW
Behavioral,qA*64rY#).:0W(,vY:       current_sYpqy:next_s#)pAyv.:filterRx.p*'v::syncDetected:p62SWS#*DOJ9oFQ*O\R  oN!6OS4H!L  q!D9*L       \ao"]6qS:\mao%iy*u1o'qI*yr=u1vUFTFT}T=rema<$yqqraY\Ao+Y6!S:\io-*i\o.6io0*r=Qr)Q<,i!!r9AQY\o4	6S:\kީo6*\yo76Io9a*1r=Irީy<51rYS:\Ak
o?	*ADYo@q6Ar
Y)<>AD9S4qC8(oE$*8v0U4,T=0r!(a>#*2:qS6\~ygaoOcyq~S4wzAsoQo1qzYd*rkIsr_ga<N~z[aL[6*:i(S
%#+Y@q@)'Q5A@)v\)@:byteReceivedW
)Y
)Y
1Y2Ux!Iq$q\9o[QBj1V
1Y2Ux!I
A$q\9o[QBj1V
ة#,       ܑ@ة@ة'Q5   \1qKao]yA1mc1rI9ܑai\o`BjY@>a:Yu3obAYqKYrqi\)oeABj2@>"f:2y*og&A2u32r.)"*i\:Qoj6iBjU@B!>>9F       k:B!U}MolIAUyUrQ:QF       Mi\]yooYBjx@eI>aai1p:eIxqoqmAx}xrt]yi1qi\ot|Bj@q>Yu:q)ovAArY)i\oyBj!@>z:!Qo{iA!!r9Qi\o~       BjI@>ҩ:Iyo֑AIIraҩyi\o1Bjq@>:qoAqqriD
Ao      YBj$o)e$oA$$r 
AiaVq%qJ0i0E:/uart_block/hdl/iseProject/serial_receiver.vhd,serial_receiver
ة#,       ܑ@ة@ة'Q5   \1}ao]yA1y1rI9ܑai\o`BjY@>a:YobAY}Yrqi\)oeABj2@>"f:2*og&A22r.)"*i\:Qoj6iBjU@B!>>9F       k:B!UMolIAUUrQ:QF       Mi\]yooYBjx@eI>aai1p:eIxqoqmAxxrt]yi1qi\ot|Bj@q>Yu:q)ovAArY)i\oyBj!@>z:!sQo{iA!!r9Qi\o~       BjI@>ҩ:I[yo֑AIsIraҩyi\o1Bj
A@>:
Aoe
ACqoA
A[
Ar  YqiDo)Bj$CoA$C$r iaVq%qJ0i@/home/laraujo/work/uart_block/hdl/iseProject/serial_receiver.vhd,serial_receiver
behavioralworkserial_receiver
behavioralworkserial_receiver
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.