OpenCores
URL https://opencores.org/ocsvn/usb_dongle_fpga/usb_dongle_fpga/trunk

Subversion Repositories usb_dongle_fpga

[/] [usb_dongle_fpga/] [trunk/] [src/] [postcode_ser/] [fifo.cmp] - Diff between revs 27 and 53

Only display areas with differences | Details | Blame | View Log

Rev 27 Rev 53
--Copyright (C) 1991-2006 Altera Corporation
--Copyright (C) 1991-2006 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--and other software and tools, and its AMPP partner logic
--functions, and any output files any of the foregoing
--functions, and any output files any of the foregoing
--(including device programming or simulation files), and any
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors.  Please refer to the
--Altera or its authorized distributors.  Please refer to the
--applicable agreement for further details.
--applicable agreement for further details.
component fifo
component fifo
        PORT
        PORT
        (
        (
                aclr            : IN STD_LOGIC ;
                aclr            : IN STD_LOGIC ;
                clock           : IN STD_LOGIC ;
                clock           : IN STD_LOGIC ;
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
                data            : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
                rdreq           : IN STD_LOGIC ;
                rdreq           : IN STD_LOGIC ;
                wrreq           : IN STD_LOGIC ;
                wrreq           : IN STD_LOGIC ;
                almost_full             : OUT STD_LOGIC ;
                almost_full             : OUT STD_LOGIC ;
                empty           : OUT STD_LOGIC ;
                empty           : OUT STD_LOGIC ;
                full            : OUT STD_LOGIC ;
                full            : OUT STD_LOGIC ;
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
                q               : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
                usedw           : OUT STD_LOGIC_VECTOR (12 DOWNTO 0)
                usedw           : OUT STD_LOGIC_VECTOR (12 DOWNTO 0)
        );
        );
end component;
end component;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.