OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [trunk/] [RTL/] [buffers/] [RxFifoBI.v] - Diff between revs 37 and 40

Only display areas with differences | Details | Blame | View Log

Rev 37 Rev 40
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// RxfifoBI.v                                                   ////
//// RxfifoBI.v                                                   ////
////                                                              ////
////                                                              ////
//// This file is part of the usbhostslave opencores effort.
//// This file is part of the usbhostslave opencores effort.
//// <http://www.opencores.org/cores//>                           ////
//// <http://www.opencores.org/cores//>                           ////
////                                                              ////
////                                                              ////
//// Module Description:                                          ////
//// Module Description:                                          ////
//// 
//// 
////                                                              ////
////                                                              ////
//// To Do:                                                       ////
//// To Do:                                                       ////
//// 
//// 
////                                                              ////
////                                                              ////
//// Author(s):                                                   ////
//// Author(s):                                                   ////
//// - Steve Fielding, sfielding@base2designs.com                 ////
//// - Steve Fielding, sfielding@base2designs.com                 ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE. See the GNU Lesser General Public License for more  ////
//// PURPOSE. See the GNU Lesser General Public License for more  ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from <http://www.opencores.org/lgpl.shtml>                   ////
//// from <http://www.opencores.org/lgpl.shtml>                   ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
`include "timescale.v"
`include "timescale.v"
`include "wishBoneBus_h.v"
`include "wishBoneBus_h.v"
 
 
module RxfifoBI (
module RxfifoBI (
  address,
  address,
  writeEn,
  writeEn,
  strobe_i,
  strobe_i,
  busClk,
  busClk,
  usbClk,
  usbClk,
  rstSyncToBusClk,
  rstSyncToBusClk,
  fifoSelect,
  fifoSelect,
  fifoDataIn,
  fifoDataIn,
  busDataIn,
  busDataIn,
  busDataOut,
  busDataOut,
  fifoREn,
  fifoREn,
  forceEmptySyncToUsbClk,
  forceEmptySyncToUsbClk,
  forceEmptySyncToBusClk,
  forceEmptySyncToBusClk,
  numElementsInFifo
  numElementsInFifo
  );
  );
input [2:0] address;
input [2:0] address;
input writeEn;
input writeEn;
input strobe_i;
input strobe_i;
input busClk;
input busClk;
input usbClk;
input usbClk;
input rstSyncToBusClk;
input rstSyncToBusClk;
input [7:0] fifoDataIn;
input [7:0] fifoDataIn;
input [7:0] busDataIn;
input [7:0] busDataIn;
output [7:0] busDataOut;
output [7:0] busDataOut;
output fifoREn;
output fifoREn;
output forceEmptySyncToUsbClk;
output forceEmptySyncToUsbClk;
output forceEmptySyncToBusClk;
output forceEmptySyncToBusClk;
input [15:0] numElementsInFifo;
input [15:0] numElementsInFifo;
input fifoSelect;
input fifoSelect;
 
 
 
 
wire [2:0] address;
wire [2:0] address;
wire writeEn;
wire writeEn;
wire strobe_i;
wire strobe_i;
wire busClk;
wire busClk;
wire usbClk;
wire usbClk;
wire rstSyncToBusClk;
wire rstSyncToBusClk;
wire [7:0] fifoDataIn;
wire [7:0] fifoDataIn;
wire [7:0] busDataIn;
wire [7:0] busDataIn;
reg [7:0] busDataOut;
reg [7:0] busDataOut;
reg fifoREn;
reg fifoREn;
wire forceEmptySyncToUsbClk;
wire forceEmptySyncToUsbClk;
wire forceEmptySyncToBusClk;
wire forceEmptySyncToBusClk;
wire [15:0] numElementsInFifo;
wire [15:0] numElementsInFifo;
wire fifoSelect;
wire fifoSelect;
 
 
reg forceEmptyReg;
reg forceEmptyReg;
reg forceEmpty;
reg forceEmpty;
reg forceEmptyToggle;
reg forceEmptyToggle;
reg [2:0] forceEmptyToggleSyncToUsbClk;
reg [2:0] forceEmptyToggleSyncToUsbClk;
 
 
//sync write
//sync write
always @(posedge busClk)
always @(posedge busClk)
begin
begin
  if (writeEn == 1'b1 && fifoSelect == 1'b1 &&
  if (writeEn == 1'b1 && fifoSelect == 1'b1 &&
    address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1)
    address == `FIFO_CONTROL_REG && strobe_i == 1'b1 && busDataIn[0] == 1'b1)
    forceEmpty <= 1'b1;
    forceEmpty <= 1'b1;
  else
  else
    forceEmpty <= 1'b0;
    forceEmpty <= 1'b0;
end
end
 
 
//detect rising edge of 'forceEmpty', and generate toggle signal
//detect rising edge of 'forceEmpty', and generate toggle signal
always @(posedge busClk) begin
always @(posedge busClk) begin
  if (rstSyncToBusClk == 1'b1) begin
  if (rstSyncToBusClk == 1'b1) begin
    forceEmptyReg <= 1'b0;
    forceEmptyReg <= 1'b0;
    forceEmptyToggle <= 1'b0;
    forceEmptyToggle <= 1'b0;
  end
  end
  else begin
  else begin
    if (forceEmpty == 1'b1)
    if (forceEmpty == 1'b1)
      forceEmptyReg <= 1'b1;
      forceEmptyReg <= 1'b1;
    else
    else
      forceEmptyReg <= 1'b0;
      forceEmptyReg <= 1'b0;
    if (forceEmpty == 1'b1 && forceEmptyReg == 1'b0)
    if (forceEmpty == 1'b1 && forceEmptyReg == 1'b0)
      forceEmptyToggle <= ~forceEmptyToggle;
      forceEmptyToggle <= ~forceEmptyToggle;
  end
  end
end
end
assign forceEmptySyncToBusClk = (forceEmpty == 1'b1 && forceEmptyReg == 1'b0) ? 1'b1 : 1'b0;
assign forceEmptySyncToBusClk = (forceEmpty == 1'b1 && forceEmptyReg == 1'b0) ? 1'b1 : 1'b0;
 
 
 
 
// double sync across clock domains to generate 'forceEmptySyncToUsbClk'
// double sync across clock domains to generate 'forceEmptySyncToUsbClk'
always @(posedge usbClk) begin
always @(posedge usbClk) begin
    forceEmptyToggleSyncToUsbClk <= {forceEmptyToggleSyncToUsbClk[1:0], forceEmptyToggle};
    forceEmptyToggleSyncToUsbClk <= {forceEmptyToggleSyncToUsbClk[1:0], forceEmptyToggle};
end
end
assign forceEmptySyncToUsbClk = forceEmptyToggleSyncToUsbClk[2] ^ forceEmptyToggleSyncToUsbClk[1];
assign forceEmptySyncToUsbClk = forceEmptyToggleSyncToUsbClk[2] ^ forceEmptyToggleSyncToUsbClk[1];
 
 
// async read mux
// async read mux
always @(address or fifoDataIn or numElementsInFifo)
always @(address or fifoDataIn or numElementsInFifo)
begin
begin
  case (address)
  case (address)
      `FIFO_DATA_REG : busDataOut <= fifoDataIn;
      `FIFO_DATA_REG : busDataOut <= fifoDataIn;
      `FIFO_DATA_COUNT_MSB : busDataOut <= numElementsInFifo[15:8];
      `FIFO_DATA_COUNT_MSB : busDataOut <= numElementsInFifo[15:8];
      `FIFO_DATA_COUNT_LSB : busDataOut <= numElementsInFifo[7:0];
      `FIFO_DATA_COUNT_LSB : busDataOut <= numElementsInFifo[7:0];
      default: busDataOut <= 8'h00;
      default: busDataOut <= 8'h00;
  endcase
  endcase
end
end
 
 
//generate fifo read strobe
//generate fifo read strobe
always @(address or writeEn or strobe_i or fifoSelect) begin
always @(address or writeEn or strobe_i or fifoSelect) begin
  if (address == `FIFO_DATA_REG &&   writeEn == 1'b0 &&
  if (address == `FIFO_DATA_REG &&   writeEn == 1'b0 &&
  strobe_i == 1'b1 &&   fifoSelect == 1'b1)
  strobe_i == 1'b1 &&   fifoSelect == 1'b1)
    fifoREn <= 1'b1;
    fifoREn <= 1'b1;
  else
  else
    fifoREn <= 1'b0;
    fifoREn <= 1'b0;
end
end
 
 
 
 
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.