OpenCores
URL https://opencores.org/ocsvn/usbhostslave/usbhostslave/trunk

Subversion Repositories usbhostslave

[/] [usbhostslave/] [trunk/] [RTL/] [hostSlaveMux/] [hostSlaveMuxBI.v] - Diff between revs 9 and 18

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 9 Rev 18
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// hostSlaveMuxBI.v                                             ////
//// hostSlaveMuxBI.v                                             ////
////                                                              ////
////                                                              ////
//// This file is part of the usbhostslave opencores effort.
//// This file is part of the usbhostslave opencores effort.
//// <http://www.opencores.org/cores//>                           ////
//// <http://www.opencores.org/cores//>                           ////
////                                                              ////
////                                                              ////
//// Module Description:                                          ////
//// Module Description:                                          ////
//// 
//// 
////                                                              ////
////                                                              ////
//// To Do:                                                       ////
//// To Do:                                                       ////
//// 
//// 
////                                                              ////
////                                                              ////
//// Author(s):                                                   ////
//// Author(s):                                                   ////
//// - Steve Fielding, sfielding@base2designs.com                 ////
//// - Steve Fielding, sfielding@base2designs.com                 ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
//// Copyright (C) 2004 Steve Fielding and OPENCORES.ORG          ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE. See the GNU Lesser General Public License for more  ////
//// PURPOSE. See the GNU Lesser General Public License for more  ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from <http://www.opencores.org/lgpl.shtml>                   ////
//// from <http://www.opencores.org/lgpl.shtml>                   ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
`timescale 1ns / 1ps
`timescale 1ns / 1ps
 
 
`include "usbHostSlave_h.v"
`include "usbHostSlave_h.v"
 
 
 module hostSlaveMuxBI (dataIn, dataOut, address, writeEn, strobe_i, clk, rst,
module hostSlaveMuxBI (dataIn, dataOut, address, writeEn, strobe_i, busClk, usbClk,
  hostMode, hostSlaveMuxSel);
  hostMode, hostSlaveMuxSel, rstFromWire, rstSyncToBusClkOut, rstSyncToUsbClkOut);
 
 
input [7:0] dataIn;
input [7:0] dataIn;
input address;
input address;
input writeEn;
input writeEn;
input strobe_i;
input strobe_i;
input clk;
input busClk;
input rst;
input usbClk;
output [7:0] dataOut;
output [7:0] dataOut;
input hostSlaveMuxSel;
input hostSlaveMuxSel;
output hostMode;
output hostMode;
 
input rstFromWire;
 
output rstSyncToBusClkOut;
 
output rstSyncToUsbClkOut;
 
 
wire [7:0] dataIn;
wire [7:0] dataIn;
wire address;
wire address;
wire writeEn;
wire writeEn;
wire strobe_i;
wire strobe_i;
wire clk;
wire busClk;
wire rst;
wire usbClk;
reg [7:0] dataOut;
reg [7:0] dataOut;
wire hostSlaveMuxSel;
wire hostSlaveMuxSel;
reg hostMode;
reg hostMode;
 
wire rstFromWire;
 
reg rstSyncToBusClkOut;
 
reg rstSyncToUsbClkOut;
 
 
//internal wire and regs
//internal wire and regs
 
reg [5:0] rstShift;
 
reg rstFromBus;
 
reg rstSyncToUsbClkFirst;
 
 
//sync write demux
//sync write demux
always @(posedge clk)
always @(posedge busClk)
begin
begin
  if (rst == 1'b1)
  if (rstSyncToBusClkOut == 1'b1)
    hostMode <= 1'b0;
    hostMode <= 1'b0;
  else begin
  else begin
    if (writeEn == 1'b1 && hostSlaveMuxSel == 1'b1 && strobe_i == 1'b1 && address == `HOST_SLAVE_CONTROL_REG )
    if (writeEn == 1'b1 && hostSlaveMuxSel == 1'b1 && strobe_i == 1'b1 && address == `HOST_SLAVE_CONTROL_REG )
      hostMode <= dataIn[0];
      hostMode <= dataIn[0];
  end
  end
 
    if (writeEn == 1'b1 && hostSlaveMuxSel == 1'b1 && strobe_i == 1'b1 && address == `HOST_SLAVE_CONTROL_REG && dataIn[1] == 1'b1 )
 
      rstFromBus <= 1'b1;
 
    else
 
      rstFromBus <= 1'b0;
end
end
 
 
 
 
// async read mux
// async read mux
always @(address or hostMode)
always @(address or hostMode)
begin
begin
  case (address)
  case (address)
    `HOST_SLAVE_CONTROL_REG: dataOut <= {7'h0, hostMode};
    `HOST_SLAVE_CONTROL_REG: dataOut <= {7'h0, hostMode};
    `HOST_SLAVE_VERSION_REG: dataOut <= `USBHOSTSLAVE_VERSION_NUM;
    `HOST_SLAVE_VERSION_REG: dataOut <= `USBHOSTSLAVE_VERSION_NUM;
  endcase
  endcase
end
end
 
 
 
// reset control
 
//generate 'rstSyncToBusClk'
 
//assuming that 'busClk' < 5 * 'usbClk'. ie 'busClk' < 240MHz
 
always @(posedge busClk) begin
 
  if (rstFromWire == 1'b1 || rstFromBus == 1'b1)
 
    rstShift <= 6'b111111;
 
  else
 
    rstShift <= {1'b0, rstShift[5:1]};
 
end
 
 
 
always @(rstShift)
 
  rstSyncToBusClkOut <= rstShift[0];
 
 
 
// double sync across clock domains to generate 'forceEmptySyncToWrClk'
 
always @(posedge usbClk) begin
 
    rstSyncToUsbClkFirst <= rstSyncToBusClkOut;
 
    rstSyncToUsbClkOut <= rstSyncToUsbClkFirst;
 
end
 
 
endmodule
endmodule
 No newline at end of file
 No newline at end of file
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.