-- $Id: xlib.vhd 314 2010-07-09 17:38:41Z mueller $
|
-- $Id: xlib.vhd 314 2010-07-09 17:38:41Z mueller $
|
--
|
--
|
-- Copyright 2007-2008 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
-- Copyright 2007-2008 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
|
--
|
--
|
-- This program is free software; you may redistribute and/or modify it under
|
-- This program is free software; you may redistribute and/or modify it under
|
-- the terms of the GNU General Public License as published by the Free
|
-- the terms of the GNU General Public License as published by the Free
|
-- Software Foundation, either version 2, or at your option any later version.
|
-- Software Foundation, either version 2, or at your option any later version.
|
--
|
--
|
-- This program is distributed in the hope that it will be useful, but
|
-- This program is distributed in the hope that it will be useful, but
|
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
|
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
|
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
|
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
|
-- for complete details.
|
-- for complete details.
|
--
|
--
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
-- Package Name: xlib
|
-- Package Name: xlib
|
-- Description: Xilinx specific components
|
-- Description: Xilinx specific components
|
--
|
--
|
-- Dependencies: -
|
-- Dependencies: -
|
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
|
-- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25
|
-- Revision History:
|
-- Revision History:
|
-- Date Rev Version Comment
|
-- Date Rev Version Comment
|
-- 2008-05-23 149 1.0.4 add iob_io(_gen)
|
-- 2008-05-23 149 1.0.4 add iob_io(_gen)
|
-- 2008-05-22 148 1.0.3 add iob_keeper(_gen);
|
-- 2008-05-22 148 1.0.3 add iob_keeper(_gen);
|
-- 2008-05-18 147 1.0.2 add PULL generic to iob_reg_io(_gen)
|
-- 2008-05-18 147 1.0.2 add PULL generic to iob_reg_io(_gen)
|
-- 2007-12-16 101 1.0.1 add INIT generic ports
|
-- 2007-12-16 101 1.0.1 add INIT generic ports
|
-- 2007-12-08 100 1.0 Initial version
|
-- 2007-12-08 100 1.0 Initial version
|
------------------------------------------------------------------------------
|
------------------------------------------------------------------------------
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
|
|
use work.slvtypes.all;
|
use work.slvtypes.all;
|
|
|
package xlib is
|
package xlib is
|
|
|
component iob_reg_i is -- registered IOB, input
|
component iob_reg_i is -- registered IOB, input
|
generic (
|
generic (
|
INIT : slbit := '0'); -- initial state
|
INIT : slbit := '0'); -- initial state
|
port (
|
port (
|
CLK : in slbit; -- clock
|
CLK : in slbit; -- clock
|
CE : in slbit := '1'; -- clock enable
|
CE : in slbit := '1'; -- clock enable
|
DI : out slbit; -- input data
|
DI : out slbit; -- input data
|
PAD : in slbit -- i/o pad
|
PAD : in slbit -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_reg_i_gen is -- registered IOB, input, vector
|
component iob_reg_i_gen is -- registered IOB, input, vector
|
generic (
|
generic (
|
DWIDTH : positive := 16; -- data port width
|
DWIDTH : positive := 16; -- data port width
|
INIT : slbit := '0'); -- initial state
|
INIT : slbit := '0'); -- initial state
|
port (
|
port (
|
CLK : in slbit; -- clock
|
CLK : in slbit; -- clock
|
CE : in slbit := '1'; -- clock enable
|
CE : in slbit := '1'; -- clock enable
|
DI : out slv(DWIDTH-1 downto 0); -- input data
|
DI : out slv(DWIDTH-1 downto 0); -- input data
|
PAD : in slv(DWIDTH-1 downto 0) -- i/o pad
|
PAD : in slv(DWIDTH-1 downto 0) -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_reg_o is -- registered IOB, output
|
component iob_reg_o is -- registered IOB, output
|
generic (
|
generic (
|
INIT : slbit := '0'); -- initial state
|
INIT : slbit := '0'); -- initial state
|
port (
|
port (
|
CLK : in slbit; -- clock
|
CLK : in slbit; -- clock
|
CE : in slbit := '1'; -- clock enable
|
CE : in slbit := '1'; -- clock enable
|
DO : in slbit; -- output data
|
DO : in slbit; -- output data
|
PAD : out slbit -- i/o pad
|
PAD : out slbit -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_reg_o_gen is -- registered IOB, output, vector
|
component iob_reg_o_gen is -- registered IOB, output, vector
|
generic (
|
generic (
|
DWIDTH : positive := 16; -- data port width
|
DWIDTH : positive := 16; -- data port width
|
INIT : slbit := '0'); -- initial state
|
INIT : slbit := '0'); -- initial state
|
port (
|
port (
|
CLK : in slbit; -- clock
|
CLK : in slbit; -- clock
|
CE : in slbit := '1'; -- clock enable
|
CE : in slbit := '1'; -- clock enable
|
DO : in slv(DWIDTH-1 downto 0); -- output data
|
DO : in slv(DWIDTH-1 downto 0); -- output data
|
PAD : out slv(DWIDTH-1 downto 0) -- i/o pad
|
PAD : out slv(DWIDTH-1 downto 0) -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_reg_io is -- registered IOB, in/output
|
component iob_reg_io is -- registered IOB, in/output
|
generic (
|
generic (
|
INITI : slbit := '0'; -- initial state ( in flop)
|
INITI : slbit := '0'; -- initial state ( in flop)
|
INITO : slbit := '0'; -- initial state (out flop)
|
INITO : slbit := '0'; -- initial state (out flop)
|
INITE : slbit := '0'; -- initial state ( oe flop)
|
INITE : slbit := '0'; -- initial state ( oe flop)
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
port (
|
port (
|
CLK : in slbit; -- clock
|
CLK : in slbit; -- clock
|
CEI : in slbit := '1'; -- clock enable ( in flops)
|
CEI : in slbit := '1'; -- clock enable ( in flops)
|
CEO : in slbit := '1'; -- clock enable (out flops)
|
CEO : in slbit := '1'; -- clock enable (out flops)
|
OE : in slbit; -- output enable
|
OE : in slbit; -- output enable
|
DI : out slbit; -- input data (read from pad)
|
DI : out slbit; -- input data (read from pad)
|
DO : in slbit; -- output data (write to pad)
|
DO : in slbit; -- output data (write to pad)
|
PAD : inout slbit -- i/o pad
|
PAD : inout slbit -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_reg_io_gen is -- registered IOB, in/output, vector
|
component iob_reg_io_gen is -- registered IOB, in/output, vector
|
generic (
|
generic (
|
DWIDTH : positive := 16; -- data port width
|
DWIDTH : positive := 16; -- data port width
|
INITI : slbit := '0'; -- initial state ( in flop)
|
INITI : slbit := '0'; -- initial state ( in flop)
|
INITO : slbit := '0'; -- initial state (out flop)
|
INITO : slbit := '0'; -- initial state (out flop)
|
INITE : slbit := '0'; -- initial state ( oe flop)
|
INITE : slbit := '0'; -- initial state ( oe flop)
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
port (
|
port (
|
CLK : in slbit; -- clock
|
CLK : in slbit; -- clock
|
CEI : in slbit := '1'; -- clock enable ( in flops)
|
CEI : in slbit := '1'; -- clock enable ( in flops)
|
CEO : in slbit := '1'; -- clock enable (out flops)
|
CEO : in slbit := '1'; -- clock enable (out flops)
|
OE : in slbit; -- output enable
|
OE : in slbit; -- output enable
|
DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad)
|
DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad)
|
DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad)
|
DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad)
|
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
|
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_io is -- un-registered IOB, in/output
|
component iob_io is -- un-registered IOB, in/output
|
generic (
|
generic (
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
port (
|
port (
|
OE : in slbit; -- output enable
|
OE : in slbit; -- output enable
|
DI : out slbit; -- input data (read from pad)
|
DI : out slbit; -- input data (read from pad)
|
DO : in slbit; -- output data (write to pad)
|
DO : in slbit; -- output data (write to pad)
|
PAD : inout slbit -- i/o pad
|
PAD : inout slbit -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_io_gen is -- un-registered IOB, in/output, vector
|
component iob_io_gen is -- un-registered IOB, in/output, vector
|
generic (
|
generic (
|
DWIDTH : positive := 16; -- data port width
|
DWIDTH : positive := 16; -- data port width
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
PULL : string := "NONE"); -- pull-up,-down or keeper
|
port (
|
port (
|
OE : in slbit; -- output enable
|
OE : in slbit; -- output enable
|
DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad)
|
DI : out slv(DWIDTH-1 downto 0); -- input data (read from pad)
|
DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad)
|
DO : in slv(DWIDTH-1 downto 0); -- output data (write to pad)
|
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
|
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_keeper is -- keeper for IOB
|
component iob_keeper is -- keeper for IOB
|
port (
|
port (
|
PAD : inout slbit -- i/o pad
|
PAD : inout slbit -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
component iob_keeper_gen is -- keeper for IOB, vector
|
component iob_keeper_gen is -- keeper for IOB, vector
|
generic (
|
generic (
|
DWIDTH : positive := 16); -- data port width
|
DWIDTH : positive := 16); -- data port width
|
port (
|
port (
|
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
|
PAD : inout slv(DWIDTH-1 downto 0) -- i/o pad
|
);
|
);
|
end component;
|
end component;
|
|
|
end xlib;
|
end xlib;
|
|
|