OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.7/] [rtl/] [make_ise/] [generic_ghdl.mk] - Diff between revs 29 and 33

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 29 Rev 33
# $Id: generic_ghdl.mk 646 2015-02-15 12:04:55Z mueller $
# $Id: generic_ghdl.mk 646 2015-02-15 12:04:55Z mueller $
#
#
# Copyright 2007-2015 by Walter F.J. Mueller 
# Copyright 2007-2015 by Walter F.J. Mueller 
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
# License disclaimer see LICENSE_gpl_v2.txt in $RETROBASE directory
#
#
#  Revision History:
#  Revision History:
# Date         Rev Version  Comment
# Date         Rev Version  Comment
# 2015-02-14   646   1.4    use --xlpath for vbomconv; drop cygwin support;
# 2015-02-14   646   1.4    use --xlpath for vbomconv; drop cygwin support;
# 2014-07-26   575   1.3.2  use XTWI_PATH now (ise/vivado switch done later)
# 2014-07-26   575   1.3.2  use XTWI_PATH now (ise/vivado switch done later)
# 2013-01-27   477   1.3.1  use dontincdep.mk to suppress .dep include on clean
# 2013-01-27   477   1.3.1  use dontincdep.mk to suppress .dep include on clean
# 2011-08-13   405   1.3    renamed, moved to rtl/make;
# 2011-08-13   405   1.3    renamed, moved to rtl/make;
# 2007-11-04    95   1.2.2  fix find statement in ghdl_tmp_clean
# 2007-11-04    95   1.2.2  fix find statement in ghdl_tmp_clean
# 2007-11-02    94   1.2.1  don't delete cext_*.o in ghdl_tmp_clean
# 2007-11-02    94   1.2.1  don't delete cext_*.o in ghdl_tmp_clean
# 2007-07-08    65   1.2    support now autobuilding of _fsim and _tsim models
# 2007-07-08    65   1.2    support now autobuilding of _fsim and _tsim models
# 2007-06-16    57   1.1    cleanup ghdl_clean handling
# 2007-06-16    57   1.1    cleanup ghdl_clean handling
# 2007-06-10    52   1.0    Initial version
# 2007-06-10    52   1.0    Initial version
#
#
GHDLIEEE    = --ieee=synopsys
GHDLIEEE    = --ieee=synopsys
GHDLXLPATH  = $(XTWI_PATH)/ISE_DS/ISE/ghdl
GHDLXLPATH  = $(XTWI_PATH)/ISE_DS/ISE/ghdl
#
#
% : %.vbom
% : %.vbom
        vbomconv --ghdl_i $<
        vbomconv --ghdl_i $<
        vbomconv --ghdl_m --xlpath=$(GHDLXLPATH) $<
        vbomconv --ghdl_m --xlpath=$(GHDLXLPATH) $<
#
#
# rules for _[ft]sim to use 'virtual' [ft]sim vbom's  (derived from _ssim)
# rules for _[ft]sim to use 'virtual' [ft]sim vbom's  (derived from _ssim)
#
#
%_fsim : %_ssim.vbom
%_fsim : %_ssim.vbom
        vbomconv --ghdl_i $*_fsim.vbom
        vbomconv --ghdl_i $*_fsim.vbom
        vbomconv --ghdl_m --xlpath=$(GHDLXLPATH) $*_fsim.vbom
        vbomconv --ghdl_m --xlpath=$(GHDLXLPATH) $*_fsim.vbom
#
#
%_tsim : %_ssim.vbom
%_tsim : %_ssim.vbom
        vbomconv --ghdl_i $*_tsim.vbom
        vbomconv --ghdl_i $*_tsim.vbom
        vbomconv --ghdl_m --xlpath=$(GHDLXLPATH) $*_tsim.vbom
        vbomconv --ghdl_m --xlpath=$(GHDLXLPATH) $*_tsim.vbom
#
#
%.dep_ghdl: %.vbom
%.dep_ghdl: %.vbom
        vbomconv --dep_ghdl $< > $@
        vbomconv --dep_ghdl $< > $@
#
#
include $(RETROBASE)/rtl/make_ise/dontincdep.mk
include $(RETROBASE)/rtl/make_ise/dontincdep.mk
#
#
.PHONY: ghdl_clean ghdl_tmp_clean
.PHONY: ghdl_clean ghdl_tmp_clean
#
#
ghdl_clean: ghdl_tmp_clean
ghdl_clean: ghdl_tmp_clean
        rm -f $(EXE_all)
        rm -f $(EXE_all)
        rm -f $(EXE_all:%=%_[sft]sim)
        rm -f $(EXE_all:%=%_[sft]sim)
        rm -f cext_*.o
        rm -f cext_*.o
#
#
ghdl_tmp_clean:
ghdl_tmp_clean:
        find -maxdepth 1 -name "*.o" | grep -v "^\./cext_" | xargs rm -f
        find -maxdepth 1 -name "*.o" | grep -v "^\./cext_" | xargs rm -f
        rm -f work-obj93.cf
        rm -f work-obj93.cf
#
#
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.