OpenCores
URL https://opencores.org/ocsvn/w11/w11/trunk

Subversion Repositories w11

[/] [w11/] [tags/] [w11a_V0.74/] [rtl/] [vlib/] [cdclib/] [Makefile] - Diff between revs 36 and 38

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 36 Rev 38
# $Id: Makefile 757 2016-04-02 11:19:06Z mueller $
# $Id: Makefile 757 2016-04-02 11:19:06Z mueller $
#
#
#  Revision History:
#  Revision History:
# Date         Rev Version  Comment
# Date         Rev Version  Comment
# 2016-04-02   757   1.0    Initial version
# 2016-04-02   757   1.0    Initial version
#
#
VBOM_all = $(wildcard *.vbom)
VBOM_all = $(wildcard *.vbom)
DCP_all  = $(VBOM_all:.vbom=_syn.dcp)
DCP_all  = $(VBOM_all:.vbom=_syn.dcp)
#
#
# reference board for test synthesis is Artix-7 based Nexys4
# reference board for test synthesis is Artix-7 based Nexys4
ifndef XTW_BOARD
ifndef XTW_BOARD
  XTW_BOARD=nexys4
  XTW_BOARD=nexys4
endif
endif
include ${RETROBASE}/rtl/make_viv/viv_default_$(XTW_BOARD).mk
include ${RETROBASE}/rtl/make_viv/viv_default_$(XTW_BOARD).mk
#
#
.PHONY : catch all
.PHONY : catch all
#
#
catch :
catch :
        @echo "no default target defined, use"
        @echo "no default target defined, use"
        @echo "  make all"
        @echo "  make all"
        @echo "  make _syn.dcp"
        @echo "  make _syn.dcp"
        @exit 1
        @exit 1
#
#
all : $(DCP_all)
all : $(DCP_all)
#
#
clean : viv_clean
clean : viv_clean
#
#
#-----
#-----
#
#
include ${RETROBASE}/rtl/make_viv/generic_vivado.mk
include ${RETROBASE}/rtl/make_viv/generic_vivado.mk
#
#
VBOM_all = $(wildcard *.vbom)
VBOM_all = $(wildcard *.vbom)
#
#
ifndef DONTINCDEP
ifndef DONTINCDEP
include $(VBOM_all:.vbom=.dep_vsyn)
include $(VBOM_all:.vbom=.dep_vsyn)
endif
endif
#
#
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.