OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [sim/] [hdl/] [picoblaze_wb_uart_tb.vhd] - Diff between revs 18 and 31

Only display areas with differences | Details | Blame | View Log

Rev 18 Rev 31
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- This sourcecode is released under BSD license.
-- This sourcecode is released under BSD license.
-- Please see http://www.opensource.org/licenses/bsd-license.php for details!
-- Please see http://www.opensource.org/licenses/bsd-license.php for details!
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--
--
-- Copyright (c) 2010, Stefan Fischer <Ste.Fis@OpenCores.org>
-- Copyright (c) 2010, Stefan Fischer <Ste.Fis@OpenCores.org>
-- All rights reserved.
-- All rights reserved.
--
--
-- Redistribution and use in source and binary forms, with or without 
-- Redistribution and use in source and binary forms, with or without 
-- modification, are permitted provided that the following conditions are met:
-- modification, are permitted provided that the following conditions are met:
--
--
--  * Redistributions of source code must retain the above copyright notice, 
--  * Redistributions of source code must retain the above copyright notice, 
--    this list of conditions and the following disclaimer.
--    this list of conditions and the following disclaimer.
--  * Redistributions in binary form must reproduce the above copyright notice,
--  * Redistributions in binary form must reproduce the above copyright notice,
--    this list of conditions and the following disclaimer in the documentation
--    this list of conditions and the following disclaimer in the documentation
--    and/or other materials provided with the distribution. 
--    and/or other materials provided with the distribution. 
--  * Neither the name of the author nor the names of his contributors may be 
 
--    used to endorse or promote products derived from this software without 
 
--    specific prior written permission.
 
--
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" 
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" 
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE 
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE 
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE 
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE 
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE 
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE 
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR 
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR 
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF 
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF 
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS 
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS 
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN 
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN 
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) 
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) 
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE 
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE 
-- POSSIBILITY OF SUCH DAMAGE.
-- POSSIBILITY OF SUCH DAMAGE.
--
--
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- filename: picoblaze_wb_uart_tb.vhd
-- filename: picoblaze_wb_uart_tb.vhd
-- description: testbench for picoblaze_wb_uart example
-- description: testbench for picoblaze_wb_uart example
-- todo4user: modify stimulus as needed
-- todo4user: modify stimulus as needed
-- version: 0.0.0
-- version: 0.0.0
-- changelog: - 0.0.0, initial release
-- changelog: - 0.0.0, initial release
--            - ...
--            - ...
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
 
 
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
 
 
entity picoblaze_wb_uart_tb is
entity picoblaze_wb_uart_tb is
end picoblaze_wb_uart_tb;
end picoblaze_wb_uart_tb;
 
 
 
 
architecture behavioral of picoblaze_wb_uart_tb is
architecture behavioral of picoblaze_wb_uart_tb is
 
 
  component picoblaze_wb_uart is
  component picoblaze_wb_uart is
    port
    port
    (
    (
      p_rst_n_i : in std_logic;
      p_rst_n_i : in std_logic;
      p_clk_i : in std_logic;
      p_clk_i : in std_logic;
 
 
      p_uart_rx_si_i : in std_logic;
      p_uart_rx_si_i : in std_logic;
      p_uart_tx_so_o : out std_logic
      p_uart_tx_so_o : out std_logic
    );
    );
  end component;
  end component;
 
 
  signal rst_n : std_logic := '0';
  signal rst_n : std_logic := '0';
  signal clk : std_logic := '1';
  signal clk : std_logic := '1';
 
 
  signal uart_rx_si : std_logic := '0';
  signal uart_rx_si : std_logic := '0';
  signal uart_tx_so : std_logic := '0';
  signal uart_tx_so : std_logic := '0';
 
 
  constant PERIOD : time := 20 ns;
  constant PERIOD : time := 20 ns;
 
 
begin
begin
 
 
  -- system signal generation
  -- system signal generation
  rst_n <= '1' after PERIOD*2;
  rst_n <= '1' after PERIOD*2;
  clk <= not clk after PERIOD/2;
  clk <= not clk after PERIOD/2;
 
 
  -- simple serial loopback
  -- simple serial loopback
  uart_rx_si <= uart_tx_so;
  uart_rx_si <= uart_tx_so;
 
 
  -- design under test instance
  -- design under test instance
  dut : picoblaze_wb_uart
  dut : picoblaze_wb_uart
    port map
    port map
    (
    (
      p_rst_n_i => rst_n,
      p_rst_n_i => rst_n,
      p_clk_i => clk,
      p_clk_i => clk,
 
 
      p_uart_rx_si_i => uart_rx_si,
      p_uart_rx_si_i => uart_rx_si,
      p_uart_tx_so_o => uart_tx_so
      p_uart_tx_so_o => uart_tx_so
    );
    );
 
 
end behavioral;
end behavioral;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.