OpenCores
URL https://opencores.org/ocsvn/wb_lpc/wb_lpc/trunk

Subversion Repositories wb_lpc

[/] [wb_lpc/] [trunk/] [examples/] [lpc_7seg/] [disp_dec.vhd] - Diff between revs 7 and 20

Only display areas with differences | Details | Blame | View Log

Rev 7 Rev 20
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Company: 
-- Company: 
-- Engineer:
-- Engineer:
--
--
-- Create Date:    16:07:18 01/02/06
-- Create Date:    16:07:18 01/02/06
-- Design Name:    
-- Design Name:    
-- Module Name:    dip_dec - Behavioral
-- Module Name:    dip_dec - Behavioral
-- Project Name:   
-- Project Name:   
-- Target Device:  
-- Target Device:  
-- Tool versions:  
-- Tool versions:  
-- Description:
-- Description:
--
--
-- Dependencies:
-- Dependencies:
-- 
-- 
-- Revision:
-- Revision:
-- Revision 0.01 - File Created
-- Revision 0.01 - File Created
-- Additional Comments:
-- Additional Comments:
-- 
-- 
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
 
---- Uncomment the following library declaration if instantiating
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
---- any Xilinx primitives in this code.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity disp_dec is
entity disp_dec is
port    (
port    (
                disp_dec_in             : in std_logic_vector(3 downto 0);
                disp_dec_in             : in std_logic_vector(3 downto 0);
                disp_dec_out    : out std_logic_vector(6 downto 0)
                disp_dec_out    : out std_logic_vector(6 downto 0)
                );
                );
end disp_dec;
end disp_dec;
 
 
 
 
architecture disp_dec_behave of disp_dec is
architecture disp_dec_behave of disp_dec is
 
 
begin
begin
 
 
 
 
process (disp_dec_in)
process (disp_dec_in)
begin
begin
        case disp_dec_in is
        case disp_dec_in is
                when "0000" =>
                when "0000" =>
                        disp_dec_out <= "1000000";
                        disp_dec_out <= "1000000";
                when "0001" =>
                when "0001" =>
                        disp_dec_out <= "1111001";
                        disp_dec_out <= "1111001";
                when "0010" =>
                when "0010" =>
                        disp_dec_out <= "0100100";
                        disp_dec_out <= "0100100";
                when "0011" =>
                when "0011" =>
                        disp_dec_out <= "0110000";
                        disp_dec_out <= "0110000";
                when "0100" =>
                when "0100" =>
                        disp_dec_out <= "0011001";
                        disp_dec_out <= "0011001";
                when "0101" =>
                when "0101" =>
                        disp_dec_out <= "0010010";
                        disp_dec_out <= "0010010";
                when "0110" =>
                when "0110" =>
                        disp_dec_out <= "0000010";
                        disp_dec_out <= "0000010";
                when "0111" =>
                when "0111" =>
                        disp_dec_out <= "1111000";
                        disp_dec_out <= "1111000";
                when "1000" =>
                when "1000" =>
                        disp_dec_out <= "0000000";
                        disp_dec_out <= "0000000";
                when "1001" =>
                when "1001" =>
                        disp_dec_out <= "0010000";
                        disp_dec_out <= "0010000";
                when "1010" =>
                when "1010" =>
                        disp_dec_out <= "0001000";
                        disp_dec_out <= "0001000";
                when "1011" =>
                when "1011" =>
                        disp_dec_out <= "0000011";
                        disp_dec_out <= "0000011";
                when "1100" =>
                when "1100" =>
                        disp_dec_out <= "1000110";
                        disp_dec_out <= "1000110";
                when "1101" =>
                when "1101" =>
                        disp_dec_out <= "0100001";
                        disp_dec_out <= "0100001";
                when "1110" =>
                when "1110" =>
                        disp_dec_out <= "0000110";
                        disp_dec_out <= "0000110";
                when "1111" =>
                when "1111" =>
                        disp_dec_out <= "0001110";
                        disp_dec_out <= "0001110";
                when others     =>
                when others     =>
                         disp_dec_out <= "1111111";
                         disp_dec_out <= "1111111";
        end case;
        end case;
end process;
end process;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.