OpenCores
URL https://opencores.org/ocsvn/z80soc/z80soc/trunk

Subversion Repositories z80soc

[/] [z80soc/] [tags/] [z80soc05c/] [S3E/] [lcdvram.vhd] - Diff between revs 17 and 31

Only display areas with differences | Details | Blame | View Log

Rev 17 Rev 31
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
--     This file is owned and controlled by Xilinx and must be used           --
--     This file is owned and controlled by Xilinx and must be used           --
--     solely for design, simulation, implementation and creation of          --
--     solely for design, simulation, implementation and creation of          --
--     design files limited to Xilinx devices or technologies. Use            --
--     design files limited to Xilinx devices or technologies. Use            --
--     with non-Xilinx devices or technologies is expressly prohibited        --
--     with non-Xilinx devices or technologies is expressly prohibited        --
--     and immediately terminates your license.                               --
--     and immediately terminates your license.                               --
--                                                                            --
--                                                                            --
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
--     FOR A PARTICULAR PURPOSE.                                              --
--     FOR A PARTICULAR PURPOSE.                                              --
--                                                                            --
--                                                                            --
--     Xilinx products are not intended for use in life support               --
--     Xilinx products are not intended for use in life support               --
--     appliances, devices, or systems. Use in such applications are          --
--     appliances, devices, or systems. Use in such applications are          --
--     expressly prohibited.                                                  --
--     expressly prohibited.                                                  --
--                                                                            --
--                                                                            --
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
--     All rights reserved.                                                   --
--     All rights reserved.                                                   --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file lcdvram.vhd when simulating
-- You must compile the wrapper file lcdvram.vhd when simulating
-- the core, lcdvram. When compiling the wrapper file, be sure to
-- the core, lcdvram. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- instructions, please refer to the "CORE Generator Help".
 
 
-- The synthesis directives "translate_off/translate_on" specified
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
 
 
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
-- synthesis translate_off
Library XilinxCoreLib;
Library XilinxCoreLib;
-- synthesis translate_on
-- synthesis translate_on
ENTITY lcdvram IS
ENTITY lcdvram IS
        port (
        port (
        addra: IN std_logic_VECTOR(4 downto 0);
        addra: IN std_logic_VECTOR(4 downto 0);
        addrb: IN std_logic_VECTOR(4 downto 0);
        addrb: IN std_logic_VECTOR(4 downto 0);
        clka: IN std_logic;
        clka: IN std_logic;
        clkb: IN std_logic;
        clkb: IN std_logic;
        dina: IN std_logic_VECTOR(7 downto 0);
        dina: IN std_logic_VECTOR(7 downto 0);
        doutb: OUT std_logic_VECTOR(7 downto 0);
        doutb: OUT std_logic_VECTOR(7 downto 0);
        wea: IN std_logic);
        wea: IN std_logic);
END lcdvram;
END lcdvram;
 
 
ARCHITECTURE lcdvram_a OF lcdvram IS
ARCHITECTURE lcdvram_a OF lcdvram IS
-- synthesis translate_off
-- synthesis translate_off
component wrapped_lcdvram
component wrapped_lcdvram
        port (
        port (
        addra: IN std_logic_VECTOR(4 downto 0);
        addra: IN std_logic_VECTOR(4 downto 0);
        addrb: IN std_logic_VECTOR(4 downto 0);
        addrb: IN std_logic_VECTOR(4 downto 0);
        clka: IN std_logic;
        clka: IN std_logic;
        clkb: IN std_logic;
        clkb: IN std_logic;
        dina: IN std_logic_VECTOR(7 downto 0);
        dina: IN std_logic_VECTOR(7 downto 0);
        doutb: OUT std_logic_VECTOR(7 downto 0);
        doutb: OUT std_logic_VECTOR(7 downto 0);
        wea: IN std_logic);
        wea: IN std_logic);
end component;
end component;
 
 
-- Configuration specification 
-- Configuration specification 
        for all : wrapped_lcdvram use entity XilinxCoreLib.blkmemdp_v6_3(behavioral)
        for all : wrapped_lcdvram use entity XilinxCoreLib.blkmemdp_v6_3(behavioral)
                generic map(
                generic map(
                        c_reg_inputsb => 0,
                        c_reg_inputsb => 0,
                        c_reg_inputsa => 0,
                        c_reg_inputsa => 0,
                        c_has_ndb => 0,
                        c_has_ndb => 0,
                        c_has_nda => 0,
                        c_has_nda => 0,
                        c_ytop_addr => "1024",
                        c_ytop_addr => "1024",
                        c_has_rfdb => 0,
                        c_has_rfdb => 0,
                        c_has_rfda => 0,
                        c_has_rfda => 0,
                        c_ywea_is_high => 0,
                        c_ywea_is_high => 0,
                        c_yena_is_high => 1,
                        c_yena_is_high => 1,
                        c_yclka_is_rising => 1,
                        c_yclka_is_rising => 1,
                        c_yhierarchy => "hierarchy1",
                        c_yhierarchy => "hierarchy1",
                        c_ysinita_is_high => 1,
                        c_ysinita_is_high => 1,
                        c_ybottom_addr => "0",
                        c_ybottom_addr => "0",
                        c_width_b => 8,
                        c_width_b => 8,
                        c_width_a => 8,
                        c_width_a => 8,
                        c_sinita_value => "0",
                        c_sinita_value => "0",
                        c_sinitb_value => "0",
                        c_sinitb_value => "0",
                        c_limit_data_pitch => 18,
                        c_limit_data_pitch => 18,
                        c_write_modeb => 0,
                        c_write_modeb => 0,
                        c_write_modea => 2,
                        c_write_modea => 2,
                        c_has_rdyb => 0,
                        c_has_rdyb => 0,
                        c_yuse_single_primitive => 0,
                        c_yuse_single_primitive => 0,
                        c_has_rdya => 0,
                        c_has_rdya => 0,
                        c_addra_width => 5,
                        c_addra_width => 5,
                        c_addrb_width => 5,
                        c_addrb_width => 5,
                        c_has_limit_data_pitch => 0,
                        c_has_limit_data_pitch => 0,
                        c_default_data => "20",
                        c_default_data => "20",
                        c_pipe_stages_b => 0,
                        c_pipe_stages_b => 0,
                        c_yweb_is_high => 0,
                        c_yweb_is_high => 0,
                        c_yenb_is_high => 1,
                        c_yenb_is_high => 1,
                        c_pipe_stages_a => 0,
                        c_pipe_stages_a => 0,
                        c_yclkb_is_rising => 1,
                        c_yclkb_is_rising => 1,
                        c_yydisable_warnings => 1,
                        c_yydisable_warnings => 1,
                        c_enable_rlocs => 0,
                        c_enable_rlocs => 0,
                        c_ysinitb_is_high => 1,
                        c_ysinitb_is_high => 1,
                        c_has_web => 0,
                        c_has_web => 0,
                        c_has_default_data => 1,
                        c_has_default_data => 1,
                        c_has_sinitb => 0,
                        c_has_sinitb => 0,
                        c_has_wea => 1,
                        c_has_wea => 1,
                        c_has_sinita => 0,
                        c_has_sinita => 0,
                        c_has_dinb => 0,
                        c_has_dinb => 0,
                        c_has_dina => 1,
                        c_has_dina => 1,
                        c_ymake_bmm => 0,
                        c_ymake_bmm => 0,
                        c_sim_collision_check => "NONE",
                        c_sim_collision_check => "NONE",
                        c_has_enb => 0,
                        c_has_enb => 0,
                        c_has_ena => 0,
                        c_has_ena => 0,
                        c_depth_b => 32,
                        c_depth_b => 32,
                        c_mem_init_file => "mif_file_16_1",
                        c_mem_init_file => "mif_file_16_1",
                        c_depth_a => 32,
                        c_depth_a => 32,
                        c_has_doutb => 1,
                        c_has_doutb => 1,
                        c_has_douta => 0,
                        c_has_douta => 0,
                        c_yprimitive_type => "16kx1");
                        c_yprimitive_type => "16kx1");
-- synthesis translate_on
-- synthesis translate_on
BEGIN
BEGIN
-- synthesis translate_off
-- synthesis translate_off
U0 : wrapped_lcdvram
U0 : wrapped_lcdvram
                port map (
                port map (
                        addra => addra,
                        addra => addra,
                        addrb => addrb,
                        addrb => addrb,
                        clka => clka,
                        clka => clka,
                        clkb => clkb,
                        clkb => clkb,
                        dina => dina,
                        dina => dina,
                        doutb => doutb,
                        doutb => doutb,
                        wea => wea);
                        wea => wea);
-- synthesis translate_on
-- synthesis translate_on
 
 
END lcdvram_a;
END lcdvram_a;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.