OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [sim/] [p6809.out] - Diff between revs 17 and 18

Show entire file | Details | Blame | View Log

Rev 17 Rev 18
Line 2... Line 2...
:ivl_version "0.9.7 " "(v0_9_7)";
:ivl_version "0.9.7 " "(v0_9_7)";
:vpi_time_precision - 9;
:vpi_time_precision - 9;
:vpi_module "system";
:vpi_module "system";
:vpi_module "v2005_math";
:vpi_module "v2005_math";
:vpi_module "va_math";
:vpi_module "va_math";
S_007E47B8 .scope module, "tb" "tb" 2 11;
S_00B19318 .scope module, "tb" "tb" 2 8;
 .timescale -9 -9;
 .timescale -9 -9;
L_0097A418 .functor BUFZ 16, v00978D70_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_00B6B1E8 .functor BUFZ 16, v01069E78_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0097A450 .functor BUFZ 8, v00978DC8_0, C4<00000000>, C4<00000000>, C4<00000000>;
L_00B6B258 .functor BUFZ 8, v01069ED0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0097AB20_0 .net "addr", 15 0, v00978D70_0; 1 drivers
v0106B850_0 .net "addr", 15 0, v01069E78_0; 1 drivers
v0097AB78_0 .net "addr_o", 15 0, L_0097A418; 1 drivers
v0106B8A8_0 .net "addr_o", 15 0, L_00B6B1E8; 1 drivers
v0097ABD0_0 .var "clk", 0 0;
v0106B930_0 .var "clk", 0 0;
v0097AC28_0 .net "data_i", 7 0, v007E1D30_0; 1 drivers
v0106B988_0 .net "data_i", 7 0, v00B12A38_0; 1 drivers
v0097AC80_0 .net "data_o", 7 0, v00978DC8_0; 1 drivers
v0106B9E0_0 .net "data_o", 7 0, v01069ED0_0; 1 drivers
v0097ACD8_0 .net "data_o_o", 7 0, L_0097A450; 1 drivers
v0106BA38_0 .net "data_o_o", 7 0, L_00B6B258; 1 drivers
v0097AD30_0 .net "oe", 0 0, v00978E20_0; 1 drivers
v0106BA90_0 .var "firq", 0 0;
v0097AD88_0 .var "reset", 0 0;
v0106BAE8_0 .var "irq", 0 0;
v0097ADE0_0 .net "we", 0 0, v00978E78_0; 1 drivers
v0106BB40_0 .var "nmi", 0 0;
L_00E4FC20 .reduce/nor v00978E20_0;
v0106BB98_0 .net "oe", 0 0, v01069F28_0; 1 drivers
L_00E4FC78 .reduce/nor v00978E78_0;
v0106BBF0_0 .var "reset", 0 0;
S_007E41E0 .scope module, "cpu" "MC6809_cpu" 2 23, 3 10, S_007E47B8;
v0106BC48_0 .net "we", 0 0, v01069F80_0; 1 drivers
 
L_010715A8 .reduce/nor v01069F28_0;
 
L_01071600 .reduce/nor v01069F80_0;
 
S_00B18D40 .scope module, "cpu" "MC6809_cpu" 2 20, 3 10, S_00B19318;
 .timescale -9 -9;
 .timescale -9 -9;
L_0097A4C0 .functor AND 1, L_0097AE38, L_0097AE90, C4<1>, C4<1>;
L_00B6B2C8 .functor AND 1, L_0106BCA0, L_0106BCF8, C4<1>, C4<1>;
L_0097A5A0 .functor AND 1, L_0097AEE8, L_0097AF40, C4<1>, C4<1>;
L_00B6B3A8 .functor AND 1, L_0106BD50, L_0106BDA8, C4<1>, C4<1>;
L_0097A680 .functor AND 1, L_0097AF98, L_0097AFF0, C4<1>, C4<1>;
L_00B6B488 .functor AND 1, L_0106BE00, L_0106BE58, C4<1>, C4<1>;
L_0097E530 .functor AND 1, L_00E4F658, L_0097D780, C4<1>, C4<1>;
L_0106F600 .functor AND 1, L_01078210, L_0106E5E8, C4<1>, C4<1>;
L_0097A3E0 .functor BUFZ 1, v0097AD88_0, C4<0>, C4<0>, C4<0>;
L_00B6B1B0 .functor BUFZ 1, v0106BBF0_0, C4<0>, C4<0>, C4<0>;
L_00E549F8 .functor BUFZ 6, v0097AAC8_0, C4<000000>, C4<000000>, C4<000000>;
v01068A58_0 .net *"_s1", 0 0, L_0106BCA0; 1 drivers
v00977950_0 .net *"_s1", 0 0, L_0097AE38; 1 drivers
v01068AB0_0 .net *"_s13", 0 0, L_0106BE00; 1 drivers
v009779A8_0 .net *"_s13", 0 0, L_0097AF98; 1 drivers
v01068B08_0 .net *"_s15", 0 0, L_0106BE58; 1 drivers
v00977A00_0 .net *"_s15", 0 0, L_0097AFF0; 1 drivers
v01068B60_0 .net *"_s24", 8 0, L_0106E538; 1 drivers
v00977A58_0 .net *"_s24", 8 0, L_0097D6D0; 1 drivers
v01068BB8_0 .net *"_s27", 2 0, C4<000>; 1 drivers
v00977AB0_0 .net *"_s27", 2 0, C4<000>; 1 drivers
v01068C10_0 .net *"_s28", 8 0, C4<000010010>; 1 drivers
v00977B08_0 .net *"_s28", 8 0, C4<000010010>; 1 drivers
v01068C68_0 .net *"_s3", 0 0, L_0106BCF8; 1 drivers
v00977B60_0 .net *"_s3", 0 0, L_0097AE90; 1 drivers
v01068CC0_0 .net *"_s30", 0 0, L_0106E5E8; 1 drivers
v00977BB8_0 .net *"_s30", 0 0, L_0097D780; 1 drivers
v01068D18_0 .net *"_s7", 0 0, L_0106BD50; 1 drivers
v00977C10_0 .net *"_s7", 0 0, L_0097AEE8; 1 drivers
v01068D70_0 .net *"_s9", 0 0, L_0106BDA8; 1 drivers
v00977C68_0 .net *"_s9", 0 0, L_0097AF40; 1 drivers
v01068DC8_0 .net "alu_o_CCR", 7 0, v01068530_0; 1 drivers
v00977CC0_0 .net "alu_o_CCR", 7 0, v00977428_0; 1 drivers
v01068E20_0 .net "alu_o_result", 15 0, v010688A0_0; 1 drivers
v00977D18_0 .net "alu_o_result", 15 0, v00977798_0; 1 drivers
v01068E78_0 .alias "cpu_addr_o", 15 0, v0106B850_0;
v00977D70_0 .alias "cpu_addr_o", 15 0, v0097AB20_0;
v01068ED0_0 .net "cpu_clk", 0 0, v0106B930_0; 1 drivers
v00977DC8_0 .net "cpu_clk", 0 0, v0097ABD0_0; 1 drivers
v01068F28_0 .alias "cpu_data_i", 7 0, v0106B988_0;
v00977E20_0 .alias "cpu_data_i", 7 0, v0097AC28_0;
v01068F80_0 .alias "cpu_data_o", 7 0, v0106B9E0_0;
v00977E78_0 .alias "cpu_data_o", 7 0, v0097AC80_0;
v01068FD8_0 .net "cpu_dtack_i", 0 0, C4<1>; 1 drivers
v00977ED0_0 .net "cpu_dtack_i", 0 0, C4<1>; 1 drivers
v01069030_0 .net "cpu_firq_n", 0 0, v0106BA90_0; 1 drivers
v00977F28_0 .net "cpu_firq_n", 0 0, C4; 0 drivers
v01069088_0 .net "cpu_irq_n", 0 0, v0106BAE8_0; 1 drivers
v00977F80_0 .net "cpu_irq_n", 0 0, C4; 0 drivers
v01069138_0 .net "cpu_nmi_n", 0 0, v0106BB40_0; 1 drivers
v00978030_0 .net "cpu_nmi_n", 0 0, C4; 0 drivers
v010690E0_0 .alias "cpu_oe_o", 0 0, v0106BB98_0;
v00977FD8_0 .alias "cpu_oe_o", 0 0, v0097AD30_0;
v01069190_0 .net "cpu_reset", 0 0, v0106BBF0_0; 1 drivers
v00978088_0 .net "cpu_reset", 0 0, v0097AD88_0; 1 drivers
v010691E8_0 .net "cpu_state_o", 5 0, L_01071550; 1 drivers
v009780E0_0 .net "cpu_state_o", 5 0, L_00E549F8; 1 drivers
v01069240_0 .alias "cpu_we_o", 0 0, v0106BC48_0;
v00978138_0 .alias "cpu_we_o", 0 0, v0097ADE0_0;
v01069298_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v00978190_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v010692F0_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v009781E8_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v01069348_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v00978240_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v010693A0_0 .var "datamux_o_dest", 15 0;
v009782C8_0 .var "datamux_o_dest", 15 0;
v010693F8_0 .var "datamux_o_dest_reg_addr", 3 0;
v00978320_0 .var "datamux_o_dest_reg_addr", 3 0;
v01069450_0 .net "debug_clk", 0 0, C4; 0 drivers
v00978378_0 .net "debug_clk", 0 0, C4; 0 drivers
v010694A8_0 .net "debug_data_o", 0 0, C4<0>; 1 drivers
v009783D0_0 .net "debug_data_o", 0 0, C4<0>; 1 drivers
v01069530_0 .net "dec_lo_dest_memtype", 1 0, v01062588_0; 1 drivers
v00978428_0 .net "dec_lo_dest_memtype", 1 0, v00E4AA90_0; 1 drivers
v01069588_0 .net "dec_lo_dest_reg_addr", 3 0, v01062638_0; 1 drivers
v00978480_0 .net "dec_lo_dest_reg_addr", 3 0, v00E4AB40_0; 1 drivers
v010695E0_0 .net "dec_lo_left_path_addr", 3 0, v01062F80_0; 1 drivers
v009784D8_0 .net "dec_lo_left_path_addr", 3 0, v00E4B488_0; 1 drivers
v01069638_0 .net "dec_lo_left_path_memtype", 1 0, v01062FD8_0; 1 drivers
v00978530_0 .net "dec_lo_left_path_memtype", 1 0, v00E4B4E0_0; 1 drivers
v01069690_0 .net "dec_lo_right_path_addr", 3 0, v01063088_0; 1 drivers
v00978588_0 .net "dec_lo_right_path_addr", 3 0, v00E4B590_0; 1 drivers
v010696E8_0 .net "dec_lo_right_path_memtype", 1 0, v010630E0_0; 1 drivers
v009785E0_0 .net "dec_lo_right_path_memtype", 1 0, v00E4B5E8_0; 1 drivers
v01069740_0 .net "dec_o_alu_opcode", 4 0, L_01078328; 1 drivers
v00978638_0 .net "dec_o_alu_opcode", 4 0, L_00E4F770; 1 drivers
v01069798_0 .net "dec_o_alu_size", 0 0, L_0106E8A8; 1 drivers
v00978690_0 .net "dec_o_alu_size", 0 0, L_0097DA40; 1 drivers
v010697F0_0 .net "dec_o_cond_taken", 0 0, v00B12D50_0; 1 drivers
v009786E8_0 .net "dec_o_cond_taken", 0 0, v007E2048_0; 1 drivers
v01069848_0 .net "dec_o_dest_memtype", 1 0, L_01071030; 1 drivers
v00978740_0 .net "dec_o_dest_memtype", 1 0, L_00E4F498; 1 drivers
v010698A0_0 .net "dec_o_ea_indirect", 0 0, L_00AFEE38; 1 drivers
v00978798_0 .net "dec_o_ea_indirect", 0 0, L_007D21D0; 1 drivers
v010698F8_0 .net "dec_o_ea_ofs16", 0 0, v01060588_0; 1 drivers
v009787F0_0 .net "dec_o_ea_ofs16", 0 0, v00E48A90_0; 1 drivers
v01069950_0 .net "dec_o_ea_ofs5", 0 0, v010605E0_0; 1 drivers
v00978848_0 .net "dec_o_ea_ofs5", 0 0, v00E48AE8_0; 1 drivers
v010699A8_0 .net "dec_o_ea_ofs8", 0 0, v01060638_0; 1 drivers
v009788A0_0 .net "dec_o_ea_ofs8", 0 0, v00E48B40_0; 1 drivers
v01069A00_0 .net "dec_o_ea_wpost", 0 0, v01060690_0; 1 drivers
v009788F8_0 .net "dec_o_ea_wpost", 0 0, v00E48B98_0; 1 drivers
v01069A58_0 .net "dec_o_eabase", 3 0, v010606E8_0; 1 drivers
v00978950_0 .net "dec_o_eabase", 3 0, v00E48BF0_0; 1 drivers
v01069AB0_0 .net "dec_o_eaidx", 3 0, v01060740_0; 1 drivers
v009789A8_0 .net "dec_o_eaidx", 3 0, v00E48C48_0; 1 drivers
v01069B08_0 .net "dec_o_left_path_memtype", 1 0, L_01070F18; 1 drivers
v00978A00_0 .net "dec_o_left_path_memtype", 1 0, L_00E4F380; 1 drivers
v01069B60_0 .net "dec_o_operand_read", 0 0, L_01070CE8; 1 drivers
v00978A58_0 .net "dec_o_operand_read", 0 0, L_00E4F150; 1 drivers
v01069BB8_0 .net "dec_o_operand_write", 0 0, L_010712E8; 1 drivers
v00978AB0_0 .net "dec_o_operand_write", 0 0, L_00E4F9B8; 1 drivers
v01069C10_0 .net "dec_o_p1_mode", 2 0, v010627F0_0; 1 drivers
v00978B08_0 .net "dec_o_p1_mode", 2 0, v00E4ACF8_0; 1 drivers
v01069C68_0 .net "dec_o_right_path_memtype", 1 0, L_01070FC0; 1 drivers
v00978B60_0 .net "dec_o_right_path_memtype", 1 0, L_00E4F428; 1 drivers
v01069CC0_0 .net "dec_o_source_size", 0 0, L_0106F868; 1 drivers
v00978BB8_0 .net "dec_o_source_size", 0 0, L_0097E798; 1 drivers
v01069D18_0 .net "dec_o_use_s", 0 0, v01063348_0; 1 drivers
v00978C10_0 .net "dec_o_use_s", 0 0, v00E4B850_0; 1 drivers
v01069D70_0 .net "dec_o_wdest", 0 0, L_0106E640; 1 drivers
v00978C68_0 .net "dec_o_wdest", 0 0, L_0097D7D8; 1 drivers
v01069DC8_0 .net "dec_o_write_flags", 0 0, L_01078210; 1 drivers
v00978CC0_0 .net "dec_o_write_flags", 0 0, L_00E4F658; 1 drivers
v01069E20_0 .var "k_clear_e", 0 0;
v00978D18_0 .var "k_clear_e", 0 0;
v01069E78_0 .var "k_cpu_addr", 15 0;
v00978D70_0 .var "k_cpu_addr", 15 0;
v01069ED0_0 .var "k_cpu_data_o", 7 0;
v00978DC8_0 .var "k_cpu_data_o", 7 0;
v01069F28_0 .var "k_cpu_oe", 0 0;
v00978E20_0 .var "k_cpu_oe", 0 0;
v01069F80_0 .var "k_cpu_we", 0 0;
v00978E78_0 .var "k_cpu_we", 0 0;
v01069FD8_0 .var "k_dec_su", 0 0;
v00978ED0_0 .var "k_dec_su", 0 0;
v0106A030_0 .var "k_eahi", 7 0;
v00978F28_0 .var "k_eahi", 7 0;
v0106A088_0 .var "k_ealo", 7 0;
v00978F80_0 .var "k_ealo", 7 0;
v0106A0E0_0 .var "k_exception_process", 0 0;
v00978FD8_0 .net "k_firq_req", 0 0, L_0097A5A0; 1 drivers
v0106A138_0 .net "k_firq_req", 0 0, L_00B6B3A8; 1 drivers
v00979030_0 .var "k_forced_mem_size", 0 0;
v0106A190_0 .var "k_force_read_word_from_mem", 0 0;
v00979088_0 .var "k_inc_pc", 0 0;
v0106A1E8_0 .var "k_inc_pc", 0 0;
v009790E0_0 .var "k_inc_su", 0 0;
v0106A240_0 .var "k_inc_su", 0 0;
v00979138_0 .var "k_ind_ea", 7 0;
v0106A298_0 .var "k_ind_ea", 7 0;
v00979190_0 .var "k_indirect_loaded", 0 0;
v0106A2F0_0 .var "k_indirect_loaded", 0 0;
v009791E8_0 .net "k_irq_req", 0 0, L_0097A680; 1 drivers
v0106A348_0 .net "k_irq_req", 0 0, L_00B6B488; 1 drivers
v00979240_0 .var "k_mem_state", 2 0;
v0106A3A0_0 .var "k_mem_state", 2 0;
v009792C8_0 .var "k_memhi", 7 0;
v0106A3F8_0 .var "k_memhi", 7 0;
v00979320_0 .var "k_memlo", 7 0;
v0106A450_0 .var "k_memlo", 7 0;
v00979378_0 .var "k_mul_cnt", 0 0;
v0106A4A8_0 .var "k_mul_cnt", 0 0;
v009793D0_0 .var "k_new_pc", 15 0;
v0106A930_0 .var "k_new_pc", 15 0;
v00979428_0 .net "k_nmi_req", 0 0, L_0097A4C0; 1 drivers
v0106A988_0 .net "k_nmi_req", 0 0, L_00B6B2C8; 1 drivers
v00979480_0 .var "k_ofshi", 7 0;
v0106A9E0_0 .var "k_ofshi", 7 0;
v009794D8_0 .var "k_ofslo", 7 0;
v0106AA38_0 .var "k_ofslo", 7 0;
v00979530_0 .var "k_opcode", 7 0;
v0106AA90_0 .var "k_opcode", 7 0;
v00979588_0 .var "k_p2_valid", 0 0;
v0106AAE8_0 .var "k_p2_valid", 0 0;
v009795E0_0 .var "k_p3_valid", 0 0;
v0106AB40_0 .var "k_p3_valid", 0 0;
v00979638_0 .var "k_postbyte", 7 0;
v0106AB98_0 .var "k_postbyte", 7 0;
v00979690_0 .var "k_pp_active_reg", 3 0;
v0106ABF0_0 .var "k_pp_active_reg", 3 0;
v009796E8_0 .var "k_pp_regs", 7 0;
v0106AC48_0 .var "k_pp_regs", 7 0;
v00979740_0 .var "k_reg_firq", 2 0;
v0106ACA0_0 .var "k_reg_firq", 2 0;
v00979798_0 .var "k_reg_irq", 2 0;
v0106ACF8_0 .var "k_reg_irq", 2 0;
v009797F0_0 .var "k_reg_nmi", 2 0;
v0106AD50_0 .var "k_reg_nmi", 2 0;
v00979848_0 .net "k_reset", 0 0, L_0097A3E0; 1 drivers
v0106ADA8_0 .net "k_reset", 0 0, L_00B6B1B0; 1 drivers
v009798A0_0 .var "k_set_e", 0 0;
v0106AE00_0 .var "k_set_e", 0 0;
v009798F8_0 .var "k_write_dest", 0 0;
v0106AE58_0 .var "k_write_dest", 0 0;
v00979950_0 .var "k_write_exg", 0 0;
v0106AEB0_0 .var "k_write_exg", 0 0;
v009799A8_0 .var "k_write_pc", 0 0;
v0106AF08_0 .var "k_write_pc", 0 0;
v00979A00_0 .var "k_write_post_incdec", 0 0;
v0106AF60_0 .var "k_write_post_incdec", 0 0;
v00979A58_0 .var "k_write_tfr", 0 0;
v0106AFB8_0 .var "k_write_tfr", 0 0;
v00979AB0_0 .var "next_mem_state", 5 0;
v0106B010_0 .var "next_mem_state", 5 0;
v00979B08_0 .var "next_push_state", 5 0;
v0106B068_0 .var "next_push_state", 5 0;
v00979B60_0 .var "next_state", 5 0;
v0106B0C0_0 .var "next_state", 5 0;
v00979BB8_0 .net "op_CWAI", 0 0, v00E4ADA8_0; 1 drivers
v0106B118_0 .net "op_CWAI", 0 0, v010628A0_0; 1 drivers
v00979C10_0 .net "op_EXG", 0 0, v00E4AE00_0; 1 drivers
v0106B170_0 .net "op_EXG", 0 0, v010628F8_0; 1 drivers
v00979C68_0 .net "op_JMP", 0 0, v00E4AE58_0; 1 drivers
v0106B1C8_0 .net "op_JMP", 0 0, v01062950_0; 1 drivers
v00979CC0_0 .net "op_JSR", 0 0, v00E4AEB0_0; 1 drivers
v0106B220_0 .net "op_JSR", 0 0, v010629A8_0; 1 drivers
v00979D18_0 .net "op_LEA", 0 0, v00E4AF08_0; 1 drivers
v0106B278_0 .net "op_LEA", 0 0, v01062A00_0; 1 drivers
v00979D70_0 .net "op_MUL", 0 0, v00E4AF60_0; 1 drivers
v0106B2D0_0 .net "op_MUL", 0 0, v01062A58_0; 1 drivers
v00979DC8_0 .net "op_PULL", 0 0, v00E4AFB8_0; 1 drivers
v0106B328_0 .net "op_PULL", 0 0, v01062AB0_0; 1 drivers
v00979E20_0 .net "op_PUSH", 0 0, v00E4B010_0; 1 drivers
v0106B380_0 .net "op_PUSH", 0 0, v01062B08_0; 1 drivers
v00979E78_0 .net "op_RTI", 0 0, v00E4B068_0; 1 drivers
v0106B3D8_0 .net "op_RTI", 0 0, v01062B60_0; 1 drivers
v00979ED0_0 .net "op_RTS", 0 0, v00E4B0C0_0; 1 drivers
v0106B430_0 .net "op_RTS", 0 0, v01062BB8_0; 1 drivers
v00979F28_0 .net "op_SWI", 0 0, v00E4B118_0; 1 drivers
v0106B488_0 .net "op_SWI", 0 0, v01062C10_0; 1 drivers
v00979F80_0 .net "op_SYNC", 0 0, v00E4B170_0; 1 drivers
v0106B4E0_0 .net "op_SYNC", 0 0, v01062C68_0; 1 drivers
v00979FD8_0 .net "op_TFR", 0 0, v00E4B1C8_0; 1 drivers
v0106B538_0 .net "op_TFR", 0 0, v01062CC0_0; 1 drivers
v0097A030_0 .net "regs_o_CCR", 7 0, L_0097D410; 1 drivers
v0106B590_0 .net "regs_o_CCR", 7 0, L_0106E278; 1 drivers
v0097A088_0 .net "regs_o_dp", 7 0, v00E4C010_0; 1 drivers
v0106B5E8_0 .net "regs_o_dp", 7 0, v01063B08_0; 1 drivers
v0097A0E0_0 .net "regs_o_eamem_addr", 15 0, L_0097E078; 1 drivers
v0106B640_0 .net "regs_o_eamem_addr", 15 0, L_0106F168; 1 drivers
v0097A138_0 .net "regs_o_left_path_data", 15 0, v00E4CAE8_0; 1 drivers
v0106B698_0 .net "regs_o_left_path_data", 15 0, v010645E0_0; 1 drivers
v0097A190_0 .net "regs_o_pc", 15 0, L_0097DDD8; 1 drivers
v0106B6F0_0 .net "regs_o_pc", 15 0, L_0106EEA8; 1 drivers
v0097A1E8_0 .net "regs_o_right_path_data", 15 0, v00E4CB98_0; 1 drivers
v0106B748_0 .net "regs_o_right_path_data", 15 0, v01064690_0; 1 drivers
v0097A240_0 .net "regs_o_su", 15 0, L_0097D468; 1 drivers
v0106B7A0_0 .net "regs_o_su", 15 0, L_0106E2D0; 1 drivers
v0097AAC8_0 .var "state", 5 0;
v0106B7F8_0 .var "state", 5 0;
E_007C2A38 .event posedge, v00979848_0, v00E4A9E0_0;
E_00AF0778 .event posedge, v0106ADA8_0, v010614A8_0;
E_007C2A18/0 .event edge, v009792C8_0, v00979320_0, v00E4B5E8_0, v00E4AD50_0;
E_00AF0758/0 .event edge, v0106A3F8_0, v0106A450_0, v010630E0_0, v01062848_0;
E_007C2A18/1 .event edge, v00E4CB98_0;
E_00AF0758/1 .event edge, v01064690_0;
E_007C2A18 .event/or E_007C2A18/0, E_007C2A18/1;
E_00AF0758 .event/or E_00AF0758/0, E_00AF0758/1;
E_007C29D8/0 .event edge, v009792C8_0, v00979320_0, v00E4AD50_0, v00E4CCA0_0;
E_00AF0718/0 .event edge, v0106A3F8_0, v0106A450_0, v01062848_0, v01064798_0;
E_007C29D8/1 .event edge, v00978F28_0, v00978F80_0, v00E4CC48_0, v00E48A38_0;
E_00AF0718/1 .event edge, v0106A030_0, v0106A088_0, v01064740_0, v01060530_0;
E_007C29D8/2 .event edge, v00E4C5E8_0;
E_00AF0718/2 .event edge, v010640E0_0;
E_007C29D8 .event/or E_007C29D8/0, E_007C29D8/1, E_007C29D8/2;
E_00AF0718 .event/or E_00AF0718/0, E_00AF0718/1, E_00AF0718/2;
E_007C1758/0 .event edge, v00E4B4E0_0, v009792C8_0, v00979320_0, v00E4AF08_0;
E_00AEF498/0 .event edge, v01062FD8_0, v0106A3F8_0, v0106A450_0, v01062A00_0;
E_007C1758/1 .event edge, v00E48A38_0, v00E4C5E8_0, v00E4CAE8_0;
E_00AEF498/1 .event edge, v01060530_0, v010640E0_0, v010645E0_0;
E_007C1758 .event/or E_007C1758/0, E_007C1758/1;
E_00AEF498 .event/or E_00AEF498/0, E_00AEF498/1;
E_007C1778/0 .event edge, v00E4AFB8_0, v00E4B0C0_0, v00E4B068_0, v009792C8_0;
E_00AEF4B8/0 .event edge, v01062AB0_0, v01062BB8_0, v01062B60_0, v0106A3F8_0;
E_007C1778/1 .event edge, v00979320_0, v00E4AF08_0, v00E48A38_0, v00E4C5E8_0;
E_00AEF4B8/1 .event edge, v0106A450_0, v01062A00_0, v01060530_0, v010640E0_0;
E_007C1778/2 .event edge, v00977798_0;
E_00AEF4B8/2 .event edge, v010688A0_0;
E_007C1778 .event/or E_007C1778/0, E_007C1778/1, E_007C1778/2;
E_00AEF4B8 .event/or E_00AEF4B8/0, E_00AEF4B8/1, E_00AEF4B8/2;
E_007C1558 .event edge, v00979690_0, v00E4AB40_0;
E_00AEF298 .event edge, v0106ABF0_0, v01062638_0;
E_007C1538 .event edge, v00979690_0, v00E4B488_0;
E_00AEF278 .event edge, v0106ABF0_0, v01062F80_0;
L_0097AE38 .part v009797F0_0, 2, 1;
L_0106BCA0 .part v0106AD50_0, 2, 1;
L_0097AE90 .part v009797F0_0, 1, 1;
L_0106BCF8 .part v0106AD50_0, 1, 1;
L_0097AEE8 .part v00979740_0, 2, 1;
L_0106BD50 .part v0106ACA0_0, 2, 1;
L_0097AF40 .part v00979740_0, 1, 1;
L_0106BDA8 .part v0106ACA0_0, 1, 1;
L_0097AF98 .part v00979798_0, 2, 1;
L_0106BE00 .part v0106ACF8_0, 2, 1;
L_0097AFF0 .part v00979798_0, 1, 1;
L_0106BE58 .part v0106ACF8_0, 1, 1;
L_0097D620 .part v00979638_0, 4, 4;
L_0106E488 .part v0106AB98_0, 4, 4;
L_0097D678 .concat [ 8 8 0 0], v009794D8_0, v00979480_0;
L_0106E4E0 .concat [ 8 8 0 0], v0106AA38_0, v0106A9E0_0;
L_0097D6D0 .concat [ 6 3 0 0], v0097AAC8_0, C4<000>;
L_0106E538 .concat [ 6 3 0 0], v0106B7F8_0, C4<000>;
L_0097D780 .cmp/eq 9, L_0097D6D0, C4<000010010>;
L_0106E5E8 .cmp/eq 9, L_0106E538, C4<000010010>;
S_007E2C18 .scope module, "alu" "alu" 3 124, 4 15, S_007E41E0;
L_01071550 .part L_0106E278, 0, 6;
 
S_00B18900 .scope module, "alu" "alu" 3 125, 4 15, S_00B18D40;
 .timescale -9 -9;
 .timescale -9 -9;
v009773D0_0 .alias "CCR", 7 0, v0097A030_0;
v010684A8_0 .alias "CCR", 7 0, v0106B590_0;
v00977428_0 .var "CCRo", 7 0;
v01068530_0 .var "CCRo", 7 0;
v00977480_0 .net "a_in", 15 0, v009781E8_0; 1 drivers
v01068588_0 .net "a_in", 15 0, v010692F0_0; 1 drivers
v009774D8_0 .net "b_in", 15 0, v00978240_0; 1 drivers
v010685E0_0 .net "b_in", 15 0, v01069348_0; 1 drivers
v00977530_0 .net "ccr16_out", 3 0, v00E4D488_0; 1 drivers
v01068638_0 .net "ccr16_out", 3 0, v01064F80_0; 1 drivers
v00977588_0 .net "ccr8_out", 7 0, v00974F80_0; 1 drivers
v01068690_0 .net "ccr8_out", 7 0, v01066088_0; 1 drivers
v009775E0_0 .alias "clk_in", 0 0, v00977DC8_0;
v010686E8_0 .alias "clk_in", 0 0, v01068ED0_0;
v00977638_0 .alias "opcode_in", 4 0, v00978638_0;
v01068740_0 .alias "opcode_in", 4 0, v01069740_0;
v00977690_0 .net "q16_mul", 15 0, v00977320_0; 1 drivers
v01068798_0 .net "q16_mul", 15 0, v010683F8_0; 1 drivers
v009776E8_0 .net "q16_out", 15 0, v00974320_0; 1 drivers
v010687F0_0 .net "q16_out", 15 0, v01065450_0; 1 drivers
v00977740_0 .net "q8_out", 7 0, v00976E78_0; 1 drivers
v01068848_0 .net "q8_out", 7 0, v01067F80_0; 1 drivers
v00977798_0 .var "q_out", 15 0;
v010688A0_0 .var "q_out", 15 0;
v009777F0_0 .var "ra_in", 15 0;
v010688F8_0 .var "ra_in", 15 0;
v00977848_0 .var "rb_in", 15 0;
v01068950_0 .var "rb_in", 15 0;
v009778A0_0 .var "rop_in", 4 0;
v010689A8_0 .var "rop_in", 4 0;
v009778F8_0 .alias "sz_in", 0 0, v00978690_0;
v01068A00_0 .alias "sz_in", 0 0, v01069798_0;
E_007C4978/0 .event edge, v00E4B6F0_0, v00974320_0, v007E1FF0_0, v00E4D488_0;
E_00AF2098/0 .event edge, v010631E8_0, v01065450_0, v00B12CF8_0, v01064F80_0;
E_007C4978/1 .event edge, v00976E78_0, v00974F80_0;
E_00AF2098/1 .event edge, v01067F80_0, v01066088_0;
E_007C4978 .event/or E_007C4978/0, E_007C4978/1;
E_00AF2098 .event/or E_00AF2098/0, E_00AF2098/1;
L_0097B048 .part v009781E8_0, 0, 8;
L_0106BEB0 .part v010692F0_0, 0, 8;
L_0097B0A0 .part v00978240_0, 0, 8;
L_0106BF08 .part v01069348_0, 0, 8;
L_0097D1A8 .part v009777F0_0, 0, 8;
L_0106E010 .part v010688F8_0, 0, 8;
L_0097D200 .part v00977848_0, 0, 8;
L_0106E068 .part v01068950_0, 0, 8;
S_007E4400 .scope module, "mulu" "mul8x8" 4 33, 4 619, S_007E2C18;
S_00B18ED8 .scope module, "mulu" "mul8x8" 4 33, 4 618, S_00B18900;
 .timescale -9 -9;
 .timescale -9 -9;
v00977190_0 .net "a", 7 0, L_0097B048; 1 drivers
v01068298_0 .net "a", 7 0, L_0106BEB0; 1 drivers
v009771E8_0 .net "b", 7 0, L_0097B0A0; 1 drivers
v010682F0_0 .net "b", 7 0, L_0106BF08; 1 drivers
v00977240_0 .alias "clk_in", 0 0, v00977DC8_0;
v01068348_0 .alias "clk_in", 0 0, v01068ED0_0;
v009772C8_0 .var "pipe0", 15 0;
v010683A0_0 .var "pipe0", 15 0;
v00977320_0 .var "pipe1", 15 0;
v010683F8_0 .var "pipe1", 15 0;
v00977378_0 .alias "q", 15 0, v00977690_0;
v01068450_0 .alias "q", 15 0, v01068798_0;
S_007E4620 .scope module, "alu8" "alu8" 4 34, 4 200, S_007E2C18;
S_00B190F8 .scope module, "alu8" "alu8" 4 34, 4 200, S_00B18900;
 .timescale -9 -9;
 .timescale -9 -9;
L_0097A840 .functor NOT 8, L_0097D1A8, C4<00000000>, C4<00000000>, C4<00000000>;
L_0106C930 .functor NOT 8, L_0106E010, C4<00000000>, C4<00000000>, C4<00000000>;
L_0097A878 .functor BUFZ 8, L_0097A840, C4<00000000>, C4<00000000>, C4<00000000>;
L_0106C968 .functor BUFZ 8, L_0106C930, C4<00000000>, C4<00000000>, C4<00000000>;
L_0097A9C8 .functor BUFZ 8, L_0097B2B0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0106CAB8 .functor BUFZ 8, L_0106C118, C4<00000000>, C4<00000000>, C4<00000000>;
L_0097AA00 .functor OR 1, L_0097B3B8, L_0097B410, C4<0>, C4<0>;
L_0106CAF0 .functor OR 1, L_0106C220, L_0106C278, C4<0>, C4<0>;
L_0097BB00 .functor OR 1, L_0097AA00, L_0097B468, C4<0>, C4<0>;
L_0106CBD0 .functor OR 1, L_0106CAF0, L_0106C2D0, C4<0>, C4<0>;
L_0097BAC8 .functor OR 1, L_0097BB00, L_0097B4C0, C4<0>, C4<0>;
L_0106CB98 .functor OR 1, L_0106CBD0, L_0106C328, C4<0>, C4<0>;
L_0097BBA8 .functor OR 1, L_0097BAC8, L_0097B518, C4<0>, C4<0>;
L_0106CC78 .functor OR 1, L_0106CB98, L_0106C380, C4<0>, C4<0>;
L_0097BCC0 .functor OR 1, L_0097BBA8, L_0097B570, C4<0>, C4<0>;
L_0106CD90 .functor OR 1, L_0106CC78, L_0106C3D8, C4<0>, C4<0>;
L_0097BD68 .functor OR 1, L_0097BCC0, L_0097B5C8, C4<0>, C4<0>;
L_0106CE38 .functor OR 1, L_0106CD90, L_0106C430, C4<0>, C4<0>;
L_0097BE10 .functor OR 1, L_0097BD68, L_0097B620, C4<0>, C4<0>;
L_0106CEE0 .functor OR 1, L_0106CE38, L_0106C488, C4<0>, C4<0>;
L_0097BEB8 .functor NOT 1, L_0097B6D0, C4<0>, C4<0>, C4<0>;
L_0106CF88 .functor NOT 1, L_0106C538, C4<0>, C4<0>, C4<0>;
L_0097BF60 .functor AND 1, L_0097B678, L_0097BEB8, C4<1>, C4<1>;
L_0106D030 .functor AND 1, L_0106C4E0, L_0106CF88, C4<1>, C4<1>;
L_0097BF28 .functor NOT 1, L_0097B728, C4<0>, C4<0>, C4<0>;
L_0106CFF8 .functor NOT 1, L_0106C590, C4<0>, C4<0>, C4<0>;
L_0097C008 .functor AND 1, L_0097BF60, L_0097BF28, C4<1>, C4<1>;
L_0106D0D8 .functor AND 1, L_0106D030, L_0106CFF8, C4<1>, C4<1>;
L_0097C0E8 .functor NOT 1, L_0097B7D8, C4<0>, C4<0>, C4<0>;
L_0106D1D8 .functor NOT 1, L_0106C640, C4<0>, C4<0>, C4<0>;
L_0097C158 .functor AND 1, L_0097C008, L_0097C0E8, C4<1>, C4<1>;
L_0106D248 .functor AND 1, L_0106D0D8, L_0106D1D8, C4<1>, C4<1>;
L_0097C200 .functor NOT 1, L_0097B780, C4<0>, C4<0>, C4<0>;
L_0106D2F0 .functor NOT 1, L_0106C5E8, C4<0>, C4<0>, C4<0>;
L_0097C270 .functor AND 1, L_0097C158, L_0097C200, C4<1>, C4<1>;
L_0106D360 .functor AND 1, L_0106D248, L_0106D2F0, C4<1>, C4<1>;
L_0097C338 .functor NOT 1, L_0097B830, C4<0>, C4<0>, C4<0>;
L_0106D408 .functor NOT 1, L_0106C698, C4<0>, C4<0>, C4<0>;
L_0097C3A8 .functor AND 1, L_0097C270, L_0097C338, C4<1>, C4<1>;
L_0106D478 .functor AND 1, L_0106D360, L_0106D408, C4<1>, C4<1>;
L_0097C450 .functor NOT 1, L_0097B888, C4<0>, C4<0>, C4<0>;
L_0106D520 .functor NOT 1, L_0106C6F0, C4<0>, C4<0>, C4<0>;
L_0097C4C0 .functor AND 1, L_0097C3A8, L_0097C450, C4<1>, C4<1>;
L_0106D590 .functor AND 1, L_0106D478, L_0106D520, C4<1>, C4<1>;
L_0097C568 .functor NOT 1, L_0097B8E0, C4<0>, C4<0>, C4<0>;
L_0106D638 .functor NOT 1, L_0106C748, C4<0>, C4<0>, C4<0>;
L_0097C5D8 .functor AND 1, L_0097C4C0, L_0097C568, C4<1>, C4<1>;
L_0106D6A8 .functor AND 1, L_0106D590, L_0106D638, C4<1>, C4<1>;
L_0097C840 .functor OR 1, L_0097CCD8, L_0097B258, C4<0>, C4<0>;
L_0106E930 .functor OR 1, L_0106DB40, L_0106C0C0, C4<0>, C4<0>;
L_0097DB00 .functor XNOR 1, L_0097B0F8, C4<1>, C4<0>, C4<0>;
L_0106EBD0 .functor XNOR 1, L_0106BF60, C4<1>, C4<0>, C4<0>;
L_0097DB70 .functor OR 1, L_0097CF40, L_0097DB00, C4<0>, C4<0>;
L_0106EC40 .functor OR 1, L_0106DDA8, L_0106EBD0, C4<0>, C4<0>;
v00974F28_0 .alias "CCR", 7 0, v0097A030_0;
v01066030_0 .alias "CCR", 7 0, v0106B590_0;
v00974F80_0 .var "CCRo", 7 0;
v01066088_0 .var "CCRo", 7 0;
v00974FD8_0 .net *"_s101", 0 0, L_0097B8E0; 1 drivers
v010660E0_0 .net *"_s101", 0 0, L_0106C748; 1 drivers
v00975030_0 .net *"_s102", 0 0, L_0097C568; 1 drivers
v01066138_0 .net *"_s102", 0 0, L_0106D638; 1 drivers
v00975088_0 .net *"_s113", 3 0, L_0097CC80; 1 drivers
v01066190_0 .net *"_s113", 3 0, L_0106DAE8; 1 drivers
v009750E0_0 .net *"_s114", 3 0, C4<1001>; 1 drivers
v010661E8_0 .net *"_s114", 3 0, C4<1001>; 1 drivers
v00975138_0 .net *"_s116", 0 0, L_0097CCD8; 1 drivers
v01066240_0 .net *"_s116", 0 0, L_0106DB40; 1 drivers
v00975190_0 .net *"_s118", 0 0, L_0097C840; 1 drivers
v01066298_0 .net *"_s118", 0 0, L_0106E930; 1 drivers
v009751E8_0 .net *"_s12", 7 0, C4<00000000>; 1 drivers
v010662F0_0 .net *"_s12", 7 0, C4<00000000>; 1 drivers
v00975240_0 .net *"_s120", 7 0, C4<00000110>; 1 drivers
v01066348_0 .net *"_s120", 7 0, C4<00000110>; 1 drivers
v009752C8_0 .net *"_s122", 7 0, L_0097CD30; 1 drivers
v010663A0_0 .net *"_s122", 7 0, L_0106DB98; 1 drivers
v00975320_0 .net *"_s130", 3 0, L_0097CE90; 1 drivers
v010663F8_0 .net *"_s130", 3 0, L_0106DCF8; 1 drivers
v00975378_0 .net *"_s131", 5 0, L_0097CEE8; 1 drivers
v01066450_0 .net *"_s131", 5 0, L_0106DD50; 1 drivers
v009753D0_0 .net *"_s134", 1 0, C4<00>; 1 drivers
v010664A8_0 .net *"_s134", 1 0, C4<00>; 1 drivers
v00975428_0 .net *"_s135", 5 0, C4<001001>; 1 drivers
v01066530_0 .net *"_s135", 5 0, C4<001001>; 1 drivers
v00975480_0 .net *"_s137", 0 0, L_0097CF40; 1 drivers
v01066588_0 .net *"_s137", 0 0, L_0106DDA8; 1 drivers
v009754D8_0 .net *"_s139", 0 0, C4<1>; 1 drivers
v010665E0_0 .net *"_s139", 0 0, C4<1>; 1 drivers
v00975530_0 .net *"_s141", 0 0, L_0097DB00; 1 drivers
v01066638_0 .net *"_s141", 0 0, L_0106EBD0; 1 drivers
v00975588_0 .net *"_s143", 0 0, L_0097DB70; 1 drivers
v01066690_0 .net *"_s143", 0 0, L_0106EC40; 1 drivers
v00975638_0 .net *"_s145", 0 0, C4<0>; 1 drivers
v01066740_0 .net *"_s145", 0 0, C4<0>; 1 drivers
v009755E0_0 .net *"_s148", 3 0, L_0097CF98; 1 drivers
v010666E8_0 .net *"_s148", 3 0, L_0106DE00; 1 drivers
v00975690_0 .net *"_s149", 4 0, L_0097CFF0; 1 drivers
v01066798_0 .net *"_s149", 4 0, L_0106DE58; 1 drivers
v009756E8_0 .net *"_s151", 4 0, C4<00110>; 1 drivers
v010667F0_0 .net *"_s151", 4 0, C4<00110>; 1 drivers
v00975740_0 .net *"_s153", 4 0, L_0097D048; 1 drivers
v01066848_0 .net *"_s153", 4 0, L_0106DEB0; 1 drivers
v00975798_0 .net *"_s155", 0 0, C4<0>; 1 drivers
v010668A0_0 .net *"_s155", 0 0, C4<0>; 1 drivers
v009757F0_0 .net *"_s158", 3 0, L_0097D0A0; 1 drivers
v010668F8_0 .net *"_s158", 3 0, L_0106DF08; 1 drivers
v00975848_0 .net *"_s159", 4 0, L_0097D0F8; 1 drivers
v01066950_0 .net *"_s159", 4 0, L_0106DF60; 1 drivers
v009758A0_0 .net *"_s161", 4 0, L_0097D150; 1 drivers
v010669A8_0 .net *"_s161", 4 0, L_0106DFB8; 1 drivers
v009758F8_0 .net *"_s18", 7 0, C4<00000000>; 1 drivers
v01066A00_0 .net *"_s18", 7 0, C4<00000000>; 1 drivers
v00975950_0 .net *"_s20", 0 0, L_0097B308; 1 drivers
v01066A58_0 .net *"_s20", 0 0, L_0106C170; 1 drivers
v009759A8_0 .net *"_s22", 0 0, C4<1>; 1 drivers
v01066AB0_0 .net *"_s22", 0 0, C4<1>; 1 drivers
v00975A00_0 .net *"_s24", 0 0, C4<0>; 1 drivers
v01066B08_0 .net *"_s24", 0 0, C4<0>; 1 drivers
v00975A58_0 .net *"_s33", 0 0, L_0097B3B8; 1 drivers
v01066B60_0 .net *"_s33", 0 0, L_0106C220; 1 drivers
v00975AB0_0 .net *"_s35", 0 0, L_0097B410; 1 drivers
v01066BB8_0 .net *"_s35", 0 0, L_0106C278; 1 drivers
v00975B08_0 .net *"_s36", 0 0, L_0097AA00; 1 drivers
v01066C10_0 .net *"_s36", 0 0, L_0106CAF0; 1 drivers
v00975B60_0 .net *"_s39", 0 0, L_0097B468; 1 drivers
v01066C68_0 .net *"_s39", 0 0, L_0106C2D0; 1 drivers
v00975BB8_0 .net *"_s40", 0 0, L_0097BB00; 1 drivers
v01066CC0_0 .net *"_s40", 0 0, L_0106CBD0; 1 drivers
v00975C10_0 .net *"_s43", 0 0, L_0097B4C0; 1 drivers
v01066D18_0 .net *"_s43", 0 0, L_0106C328; 1 drivers
v00975C68_0 .net *"_s44", 0 0, L_0097BAC8; 1 drivers
v01066D70_0 .net *"_s44", 0 0, L_0106CB98; 1 drivers
v00975CC0_0 .net *"_s47", 0 0, L_0097B518; 1 drivers
v01066DC8_0 .net *"_s47", 0 0, L_0106C380; 1 drivers
v00975D18_0 .net *"_s48", 0 0, L_0097BBA8; 1 drivers
v01066E20_0 .net *"_s48", 0 0, L_0106CC78; 1 drivers
v00975D70_0 .net *"_s51", 0 0, L_0097B570; 1 drivers
v01066E78_0 .net *"_s51", 0 0, L_0106C3D8; 1 drivers
v00975DC8_0 .net *"_s52", 0 0, L_0097BCC0; 1 drivers
v01066ED0_0 .net *"_s52", 0 0, L_0106CD90; 1 drivers
v00975E20_0 .net *"_s55", 0 0, L_0097B5C8; 1 drivers
v01066F28_0 .net *"_s55", 0 0, L_0106C430; 1 drivers
v00975E78_0 .net *"_s56", 0 0, L_0097BD68; 1 drivers
v01066F80_0 .net *"_s56", 0 0, L_0106CE38; 1 drivers
v00975ED0_0 .net *"_s59", 0 0, L_0097B620; 1 drivers
v01066FD8_0 .net *"_s59", 0 0, L_0106C488; 1 drivers
v00975F28_0 .net *"_s63", 0 0, L_0097B678; 1 drivers
v01067030_0 .net *"_s63", 0 0, L_0106C4E0; 1 drivers
v00975F80_0 .net *"_s65", 0 0, L_0097B6D0; 1 drivers
v01067088_0 .net *"_s65", 0 0, L_0106C538; 1 drivers
v00975FD8_0 .net *"_s66", 0 0, L_0097BEB8; 1 drivers
v010670E0_0 .net *"_s66", 0 0, L_0106CF88; 1 drivers
v00976030_0 .net *"_s68", 0 0, L_0097BF60; 1 drivers
v01067138_0 .net *"_s68", 0 0, L_0106D030; 1 drivers
v00976088_0 .net *"_s71", 0 0, L_0097B728; 1 drivers
v01067190_0 .net *"_s71", 0 0, L_0106C590; 1 drivers
v009760E0_0 .net *"_s72", 0 0, L_0097BF28; 1 drivers
v010671E8_0 .net *"_s72", 0 0, L_0106CFF8; 1 drivers
v00976138_0 .net *"_s74", 0 0, L_0097C008; 1 drivers
v01067240_0 .net *"_s74", 0 0, L_0106D0D8; 1 drivers
v00976190_0 .net *"_s77", 0 0, L_0097B7D8; 1 drivers
v01067298_0 .net *"_s77", 0 0, L_0106C640; 1 drivers
v009761E8_0 .net *"_s78", 0 0, L_0097C0E8; 1 drivers
v010672F0_0 .net *"_s78", 0 0, L_0106D1D8; 1 drivers
v00976240_0 .net *"_s80", 0 0, L_0097C158; 1 drivers
v01067348_0 .net *"_s80", 0 0, L_0106D248; 1 drivers
v009762C8_0 .net *"_s83", 0 0, L_0097B780; 1 drivers
v010673A0_0 .net *"_s83", 0 0, L_0106C5E8; 1 drivers
v00976320_0 .net *"_s84", 0 0, L_0097C200; 1 drivers
v010673F8_0 .net *"_s84", 0 0, L_0106D2F0; 1 drivers
v00976378_0 .net *"_s86", 0 0, L_0097C270; 1 drivers
v01067450_0 .net *"_s86", 0 0, L_0106D360; 1 drivers
v009763D0_0 .net *"_s89", 0 0, L_0097B830; 1 drivers
v010674A8_0 .net *"_s89", 0 0, L_0106C698; 1 drivers
v00976428_0 .net *"_s90", 0 0, L_0097C338; 1 drivers
v01067530_0 .net *"_s90", 0 0, L_0106D408; 1 drivers
v00976480_0 .net *"_s92", 0 0, L_0097C3A8; 1 drivers
v01067588_0 .net *"_s92", 0 0, L_0106D478; 1 drivers
v009764D8_0 .net *"_s95", 0 0, L_0097B888; 1 drivers
v010675E0_0 .net *"_s95", 0 0, L_0106C6F0; 1 drivers
v00976530_0 .net *"_s96", 0 0, L_0097C450; 1 drivers
v01067638_0 .net *"_s96", 0 0, L_0106D520; 1 drivers
v00976588_0 .net *"_s98", 0 0, L_0097C4C0; 1 drivers
v01067690_0 .net *"_s98", 0 0, L_0106D590; 1 drivers
v009765E0_0 .net "a_in", 7 0, L_0097D1A8; 1 drivers
v010676E8_0 .net "a_in", 7 0, L_0106E010; 1 drivers
v00976638_0 .var "alu8_b_in", 7 0;
v01067740_0 .var "alu8_b_in", 7 0;
v00976690_0 .net "arith_c", 0 0, v00974BB8_0; 1 drivers
v01067798_0 .net "arith_c", 0 0, v01065CC0_0; 1 drivers
v009766E8_0 .net "arith_h", 0 0, v00974C68_0; 1 drivers
v010677F0_0 .net "arith_h", 0 0, v01065D70_0; 1 drivers
v00976740_0 .net "arith_q", 7 0, v00974D70_0; 1 drivers
v01067848_0 .net "arith_q", 7 0, v01065E78_0; 1 drivers
v00976798_0 .net "arith_v", 0 0, v00974D18_0; 1 drivers
v010678A0_0 .net "arith_v", 0 0, v01065E20_0; 1 drivers
v009767F0_0 .net "b_in", 7 0, L_0097D200; 1 drivers
v010678F8_0 .net "b_in", 7 0, L_0106E068; 1 drivers
v00976848_0 .var "c8", 0 0;
v01067950_0 .var "c8", 0 0;
v009768A0_0 .net "c_in", 0 0, L_0097B0F8; 1 drivers
v010679A8_0 .net "c_in", 0 0, L_0106BF60; 1 drivers
v009768F8_0 .net "ccom8_r", 0 0, L_0097B360; 1 drivers
v01067A00_0 .net "ccom8_r", 0 0, L_0106C1C8; 1 drivers
v00976950_0 .net "cdaa8_r", 0 0, L_0097CDE0; 1 drivers
v01067A58_0 .net "cdaa8_r", 0 0, L_0106DC48; 1 drivers
v009769A8_0 .alias "clk_in", 0 0, v00977DC8_0;
v01067AB0_0 .alias "clk_in", 0 0, v01068ED0_0;
v00976A00_0 .net "cneg8_r", 0 0, L_0097BE10; 1 drivers
v01067B08_0 .net "cneg8_r", 0 0, L_0106CEE0; 1 drivers
v00976A58_0 .net "com8_r", 7 0, L_0097A878; 1 drivers
v01067B60_0 .net "com8_r", 7 0, L_0106C968; 1 drivers
v00976AB0_0 .net "com8_w", 7 0, L_0097A840; 1 drivers
v01067BB8_0 .net "com8_w", 7 0, L_0106C930; 1 drivers
v00976B08_0 .net "daa8h_r", 3 0, L_0097CE38; 1 drivers
v01067C10_0 .net "daa8h_r", 3 0, L_0106DCA0; 1 drivers
v00976B60_0 .net "daa_p0_r", 7 0, L_0097CD88; 1 drivers
v01067C68_0 .net "daa_p0_r", 7 0, L_0106DBF0; 1 drivers
v00976BB8_0 .var "h8", 0 0;
v01067CC0_0 .var "h8", 0 0;
v00976C10_0 .net "h_in", 0 0, L_0097B258; 1 drivers
v01067D18_0 .net "h_in", 0 0, L_0106C0C0; 1 drivers
v00976C68_0 .net "logic_q", 7 0, v00974ED0_0; 1 drivers
v01067D70_0 .net "logic_q", 7 0, v01065FD8_0; 1 drivers
v00976CC0_0 .net "n_in", 0 0, L_0097B150; 1 drivers
v01067DC8_0 .net "n_in", 0 0, L_0106BFB8; 1 drivers
v00976D18_0 .net "neg8_r", 7 0, L_0097A9C8; 1 drivers
v01067E20_0 .net "neg8_r", 7 0, L_0106CAB8; 1 drivers
v00976D70_0 .net "neg8_w", 7 0, L_0097B2B0; 1 drivers
v01067E78_0 .net "neg8_w", 7 0, L_0106C118; 1 drivers
v00976DC8_0 .net "opcode_in", 4 0, v009778A0_0; 1 drivers
v01067ED0_0 .net "opcode_in", 4 0, v010689A8_0; 1 drivers
v00976E20_0 .var "q8", 7 0;
v01067F28_0 .var "q8", 7 0;
v00976E78_0 .var "q_out", 7 0;
v01067F80_0 .var "q_out", 7 0;
v00976ED0_0 .net "shift_c", 0 0, L_0097CBD0; 1 drivers
v01067FD8_0 .net "shift_c", 0 0, L_0106DA38; 1 drivers
v00976F28_0 .net "shift_q", 7 0, v00974950_0; 1 drivers
v01068030_0 .net "shift_q", 7 0, v01065A58_0; 1 drivers
v00976F80_0 .net "shift_v", 0 0, v009748F8_0; 1 drivers
v01068088_0 .net "shift_v", 0 0, v01065A00_0; 1 drivers
v00976FD8_0 .var "v8", 0 0;
v010680E0_0 .var "v8", 0 0;
v00977030_0 .net "v_in", 0 0, L_0097B1A8; 1 drivers
v01068138_0 .net "v_in", 0 0, L_0106C010; 1 drivers
v00977088_0 .net "vcom8_r", 0 0, C4<0>; 1 drivers
v01068190_0 .net "vcom8_r", 0 0, C4<0>; 1 drivers
v009770E0_0 .net "vneg8_r", 0 0, L_0097C5D8; 1 drivers
v010681E8_0 .net "vneg8_r", 0 0, L_0106D6A8; 1 drivers
v00977138_0 .net "z_in", 0 0, L_0097B200; 1 drivers
v01068240_0 .net "z_in", 0 0, L_0106C068; 1 drivers
E_007C4298/0 .event edge, v00976E20_0, v007E1FF0_0, v00976BB8_0, v00976FD8_0;
E_00AF1F78/0 .event edge, v01067F28_0, v00B12CF8_0, v01067CC0_0, v010680E0_0;
E_007C4298/1 .event edge, v00976848_0;
E_00AF1F78/1 .event edge, v01067950_0;
E_007C4298 .event/or E_007C4298/0, E_007C4298/1;
E_00AF1F78 .event/or E_00AF1F78/0, E_00AF1F78/1;
E_0081CAA0/0 .event edge, v00974798_0, v00974C10_0, v009748A0_0, v00E4D850_0;
E_00B4E980/0 .event edge, v010658A0_0, v01065D18_0, v010659A8_0, v01065348_0;
E_0081CAA0/1 .event edge, v009746E8_0, v00974D70_0, v00974BB8_0, v00974D18_0;
E_00B4E980/1 .event edge, v010657F0_0, v01065E78_0, v01065CC0_0, v01065E20_0;
E_0081CAA0/2 .event edge, v00974C68_0, v00976A58_0, v00977088_0, v00976D18_0;
E_00B4E980/2 .event edge, v01065D70_0, v01067B60_0, v01068190_0, v01067E20_0;
E_0081CAA0/3 .event edge, v00976A00_0, v009770E0_0, v00974950_0, v009747F0_0;
E_00B4E980/3 .event edge, v01067B08_0, v010681E8_0, v01065A58_0, v010658F8_0;
E_0081CAA0/4 .event edge, v009748F8_0, v00974ED0_0, v00976B08_0, v00976B60_0;
E_00B4E980/4 .event edge, v01065A00_0, v01065FD8_0, v01067C10_0, v01067C68_0;
E_0081CAA0/5 .event edge, v00976950_0;
E_00B4E980/5 .event edge, v01067A58_0;
E_0081CAA0 .event/or E_0081CAA0/0, E_0081CAA0/1, E_0081CAA0/2, E_0081CAA0/3, E_0081CAA0/4, E_0081CAA0/5;
E_00B4E980 .event/or E_00B4E980/0, E_00B4E980/1, E_00B4E980/2, E_00B4E980/3, E_00B4E980/4, E_00B4E980/5;
E_0081CA40 .event edge, v00974740_0, v00E4D850_0;
E_00B4E920 .event edge, v01065848_0, v01065348_0;
L_0097B0F8 .part L_0097D410, 0, 1;
L_0106BF60 .part L_0106E278, 0, 1;
L_0097B150 .part L_0097D410, 3, 1;
L_0106BFB8 .part L_0106E278, 3, 1;
L_0097B1A8 .part L_0097D410, 1, 1;
L_0106C010 .part L_0106E278, 1, 1;
L_0097B200 .part L_0097D410, 2, 1;
L_0106C068 .part L_0106E278, 2, 1;
L_0097B258 .part L_0097D410, 5, 1;
L_0106C0C0 .part L_0106E278, 5, 1;
L_0097B2B0 .arith/sub 8, C4<00000000>, L_0097D1A8;
L_0106C118 .arith/sub 8, C4<00000000>, L_0106E010;
L_0097B308 .cmp/ne 8, L_0097A840, C4<00000000>;
L_0106C170 .cmp/ne 8, L_0106C930, C4<00000000>;
L_0097B360 .functor MUXZ 1, C4<0>, C4<1>, L_0097B308, C4<>;
L_0106C1C8 .functor MUXZ 1, C4<0>, C4<1>, L_0106C170, C4<>;
L_0097B3B8 .part L_0097B2B0, 7, 1;
L_0106C220 .part L_0106C118, 7, 1;
L_0097B410 .part L_0097B2B0, 6, 1;
L_0106C278 .part L_0106C118, 6, 1;
L_0097B468 .part L_0097B2B0, 5, 1;
L_0106C2D0 .part L_0106C118, 5, 1;
L_0097B4C0 .part L_0097B2B0, 4, 1;
L_0106C328 .part L_0106C118, 4, 1;
L_0097B518 .part L_0097B2B0, 3, 1;
L_0106C380 .part L_0106C118, 3, 1;
L_0097B570 .part L_0097B2B0, 2, 1;
L_0106C3D8 .part L_0106C118, 2, 1;
L_0097B5C8 .part L_0097B2B0, 1, 1;
L_0106C430 .part L_0106C118, 1, 1;
L_0097B620 .part L_0097B2B0, 0, 1;
L_0106C488 .part L_0106C118, 0, 1;
L_0097B678 .part L_0097B2B0, 7, 1;
L_0106C4E0 .part L_0106C118, 7, 1;
L_0097B6D0 .part L_0097B2B0, 6, 1;
L_0106C538 .part L_0106C118, 6, 1;
L_0097B728 .part L_0097B2B0, 5, 1;
L_0106C590 .part L_0106C118, 5, 1;
L_0097B7D8 .part L_0097B2B0, 4, 1;
L_0106C640 .part L_0106C118, 4, 1;
L_0097B780 .part L_0097B2B0, 3, 1;
L_0106C5E8 .part L_0106C118, 3, 1;
L_0097B830 .part L_0097B2B0, 2, 1;
L_0106C698 .part L_0106C118, 2, 1;
L_0097B888 .part L_0097B2B0, 1, 1;
L_0106C6F0 .part L_0106C118, 1, 1;
L_0097B8E0 .part L_0097B2B0, 0, 1;
L_0106C748 .part L_0106C118, 0, 1;
L_0097B938 .part v009778A0_0, 0, 2;
L_0106C7A0 .part v010689A8_0, 0, 2;
L_0097BA40 .part v009778A0_0, 0, 2;
L_0106C8A8 .part v010689A8_0, 0, 2;
L_0097CC28 .part v009778A0_0, 0, 3;
L_0106DA90 .part v010689A8_0, 0, 3;
L_0097CC80 .part L_0097D1A8, 0, 4;
L_0106DAE8 .part L_0106E010, 0, 4;
L_0097CCD8 .cmp/gt 4, L_0097CC80, C4<1001>;
L_0106DB40 .cmp/gt 4, L_0106DAE8, C4<1001>;
L_0097CD30 .arith/sum 8, L_0097D1A8, C4<00000110>;
L_0106DB98 .arith/sum 8, L_0106E010, C4<00000110>;
L_0097CD88 .functor MUXZ 8, L_0097D1A8, L_0097CD30, L_0097C840, C4<>;
L_0106DBF0 .functor MUXZ 8, L_0106E010, L_0106DB98, L_0106E930, C4<>;
L_0097CDE0 .part L_0097D150, 4, 1;
L_0106DC48 .part L_0106DFB8, 4, 1;
L_0097CE38 .part L_0097D150, 0, 4;
L_0106DCA0 .part L_0106DFB8, 0, 4;
L_0097CE90 .part L_0097CD88, 4, 4;
L_0106DCF8 .part L_0106DBF0, 4, 4;
L_0097CEE8 .concat [ 4 2 0 0], L_0097CE90, C4<00>;
L_0106DD50 .concat [ 4 2 0 0], L_0106DCF8, C4<00>;
L_0097CF40 .cmp/gt 6, L_0097CEE8, C4<001001>;
L_0106DDA8 .cmp/gt 6, L_0106DD50, C4<001001>;
L_0097CF98 .part L_0097CD88, 4, 4;
L_0106DE00 .part L_0106DBF0, 4, 4;
L_0097CFF0 .concat [ 4 1 0 0], L_0097CF98, C4<0>;
L_0106DE58 .concat [ 4 1 0 0], L_0106DE00, C4<0>;
L_0097D048 .arith/sum 5, L_0097CFF0, C4<00110>;
L_0106DEB0 .arith/sum 5, L_0106DE58, C4<00110>;
L_0097D0A0 .part L_0097CD88, 4, 4;
L_0106DF08 .part L_0106DBF0, 4, 4;
L_0097D0F8 .concat [ 4 1 0 0], L_0097D0A0, C4<0>;
L_0106DF60 .concat [ 4 1 0 0], L_0106DF08, C4<0>;
L_0097D150 .functor MUXZ 5, L_0097D0F8, L_0097D048, L_0097DB70, C4<>;
L_0106DFB8 .functor MUXZ 5, L_0106DF60, L_0106DEB0, L_0106EC40, C4<>;
S_007E4488 .scope module, "l8" "logic8" 4 255, 4 64, S_007E4620;
S_00B18F60 .scope module, "l8" "logic8" 4 255, 4 64, S_00B190F8;
 .timescale -9 -9;
 .timescale -9 -9;
v00974DC8_0 .alias "a_in", 7 0, v009765E0_0;
v01065ED0_0 .alias "a_in", 7 0, v010676E8_0;
v00974E20_0 .alias "b_in", 7 0, v009767F0_0;
v01065F28_0 .alias "b_in", 7 0, v010678F8_0;
v00974E78_0 .net "opcode_in", 1 0, L_0097B938; 1 drivers
v01065F80_0 .net "opcode_in", 1 0, L_0106C7A0; 1 drivers
v00974ED0_0 .var "q_out", 7 0;
v01065FD8_0 .var "q_out", 7 0;
E_0081C7C0 .event edge, v00974E78_0, v00974740_0, v009746E8_0;
E_00B4EA40 .event edge, v01065F80_0, v01065848_0, v010657F0_0;
S_007E4510 .scope module, "a8" "arith8" 4 256, 4 87, S_007E4620;
S_00B18FE8 .scope module, "a8" "arith8" 4 256, 4 87, S_00B190F8;
 .timescale -9 -9;
 .timescale -9 -9;
v009749A8_0 .net *"_s1", 0 0, L_0097B990; 1 drivers
v01065AB0_0 .net *"_s1", 0 0, L_0106C7F8; 1 drivers
v00974A00_0 .net *"_s2", 0 0, C4<0>; 1 drivers
v01065B08_0 .net *"_s2", 0 0, C4<0>; 1 drivers
v00974A58_0 .alias "a_in", 7 0, v009765E0_0;
v01065B60_0 .alias "a_in", 7 0, v010676E8_0;
v00974AB0_0 .net "b_in", 7 0, v00976638_0; 1 drivers
v01065BB8_0 .net "b_in", 7 0, v01067740_0; 1 drivers
v00974B08_0 .net "carry", 0 0, L_0097B9E8; 1 drivers
v01065C10_0 .net "carry", 0 0, L_0106C850; 1 drivers
v00974B60_0 .alias "carry_in", 0 0, v009768A0_0;
v01065C68_0 .alias "carry_in", 0 0, v010679A8_0;
v00974BB8_0 .var "carry_out", 0 0;
v01065CC0_0 .var "carry_out", 0 0;
v00974C10_0 .alias "half_c_in", 0 0, v00976C10_0;
v01065D18_0 .alias "half_c_in", 0 0, v01067D18_0;
v00974C68_0 .var "half_c_out", 0 0;
v01065D70_0 .var "half_c_out", 0 0;
v00974CC0_0 .net "opcode_in", 1 0, L_0097BA40; 1 drivers
v01065DC8_0 .net "opcode_in", 1 0, L_0106C8A8; 1 drivers
v00974D18_0 .var "overflow_out", 0 0;
v01065E20_0 .var "overflow_out", 0 0;
v00974D70_0 .var "q_out", 7 0;
v01065E78_0 .var "q_out", 7 0;
E_0081C820/0 .event edge, v00974CC0_0, v009746E8_0, v00974AB0_0, v00974D70_0;
E_00B4E8A0/0 .event edge, v01065DC8_0, v010657F0_0, v01065BB8_0, v01065E78_0;
E_0081C820/1 .event edge, v00974C10_0;
E_00B4E8A0/1 .event edge, v01065D18_0;
E_0081C820 .event/or E_0081C820/0, E_0081C820/1;
E_00B4E8A0 .event/or E_00B4E8A0/0, E_00B4E8A0/1;
E_0081C840 .event edge, v00974CC0_0, v009746E8_0, v00974AB0_0, v00974D70_0;
E_00B4E8C0 .event edge, v01065DC8_0, v010657F0_0, v01065BB8_0, v01065E78_0;
E_0081C7E0 .event edge, v00974CC0_0, v009746E8_0, v00974AB0_0, v00974B08_0;
E_00B4EA80 .event edge, v01065DC8_0, v010657F0_0, v01065BB8_0, v01065C10_0;
L_0097B990 .part L_0097BA40, 1, 1;
L_0106C7F8 .part L_0106C8A8, 1, 1;
L_0097B9E8 .functor MUXZ 1, C4<0>, L_0097B0F8, L_0097B990, C4<>;
L_0106C850 .functor MUXZ 1, C4<0>, L_0106BF60, L_0106C7F8, C4<>;
S_007E4598 .scope module, "s8" "shift8" 4 257, 4 160, S_007E4620;
S_00B19070 .scope module, "s8" "shift8" 4 257, 4 160, S_00B190F8;
 .timescale -9 -9;
 .timescale -9 -9;
v009745E0_0 .net *"_s1", 0 0, L_0097CAC8; 1 drivers
v010656E8_0 .net *"_s1", 0 0, L_0106D930; 1 drivers
v00974638_0 .net *"_s3", 0 0, L_0097CB20; 1 drivers
v01065740_0 .net *"_s3", 0 0, L_0106D988; 1 drivers
v00974690_0 .net *"_s5", 0 0, L_0097CB78; 1 drivers
v01065798_0 .net *"_s5", 0 0, L_0106D9E0; 1 drivers
v009746E8_0 .alias "a_in", 7 0, v009765E0_0;
v010657F0_0 .alias "a_in", 7 0, v010676E8_0;
v00974740_0 .alias "b_in", 7 0, v009767F0_0;
v01065848_0 .alias "b_in", 7 0, v010678F8_0;
v00974798_0 .alias "carry_in", 0 0, v009768A0_0;
v010658A0_0 .alias "carry_in", 0 0, v010679A8_0;
v009747F0_0 .alias "carry_out", 0 0, v00976ED0_0;
v010658F8_0 .alias "carry_out", 0 0, v01067FD8_0;
v00974848_0 .net "opcode_in", 2 0, L_0097CC28; 1 drivers
v01065950_0 .net "opcode_in", 2 0, L_0106DA90; 1 drivers
v009748A0_0 .alias "overflow_in", 0 0, v00977030_0;
v010659A8_0 .alias "overflow_in", 0 0, v01068138_0;
v009748F8_0 .var "overflow_out", 0 0;
v01065A00_0 .var "overflow_out", 0 0;
v00974950_0 .var "q_out", 7 0;
v01065A58_0 .var "q_out", 7 0;
E_0081CA60 .event edge, v009748A0_0, v00974848_0, v009746E8_0;
E_00B4E940 .event edge, v010659A8_0, v01065950_0, v010657F0_0;
E_0081C860 .event edge, v009746E8_0, v00974848_0, v00974798_0;
E_00B4E8E0 .event edge, v010657F0_0, v01065950_0, v010658A0_0;
L_0097CAC8 .part L_0097CC28, 0, 1;
L_0106D930 .part L_0106DA90, 0, 1;
L_0097CB20 .part L_0097D1A8, 7, 1;
L_0106D988 .part L_0106E010, 7, 1;
L_0097CB78 .part L_0097D1A8, 0, 1;
L_0106D9E0 .part L_0106E010, 0, 1;
L_0097CBD0 .functor MUXZ 1, L_0097CB78, L_0097CB20, L_0097CAC8, C4<>;
L_0106DA38 .functor MUXZ 1, L_0106D9E0, L_0106D988, L_0106D930, C4<>;
S_007E3DA0 .scope module, "alu16" "alu16" 4 35, 4 344, S_007E2C18;
S_00B18988 .scope module, "alu16" "alu16" 4 35, 4 345, S_00B18900;
 .timescale -9 -9;
 .timescale -9 -9;
v00E4D430_0 .alias "CCR", 7 0, v0097A030_0;
v01064F28_0 .alias "CCR", 7 0, v0106B590_0;
v00E4D488_0 .var "CCRo", 3 0;
v01064F80_0 .var "CCRo", 3 0;
v00E4D4E0_0 .net "a_in", 15 0, v009777F0_0; 1 drivers
v01064FD8_0 .net "a_in", 15 0, v010688F8_0; 1 drivers
v00E4D538_0 .net "arith_c", 0 0, v00E4D2D0_0; 1 drivers
v01065030_0 .net "arith_c", 0 0, v01064DC8_0; 1 drivers
v00E4D590_0 .net "arith_q", 15 0, v00E4D3D8_0; 1 drivers
v01065088_0 .net "arith_q", 15 0, v01064ED0_0; 1 drivers
v00E4D5E8_0 .net "arith_v", 0 0, v00E4D380_0; 1 drivers
v010650E0_0 .net "arith_v", 0 0, v01064E78_0; 1 drivers
v00E4D640_0 .net "b_in", 15 0, v00977848_0; 1 drivers
v01065138_0 .net "b_in", 15 0, v01068950_0; 1 drivers
v00E4D698_0 .var "c16", 0 0;
v01065190_0 .var "c16", 0 0;
v00E4D6F0_0 .net "c_in", 0 0, L_0097D258; 1 drivers
v010651E8_0 .net "c_in", 0 0, L_0106E0C0; 1 drivers
v00E4D748_0 .alias "clk_in", 0 0, v00977DC8_0;
v01065240_0 .alias "clk_in", 0 0, v01068ED0_0;
v00E4D7A0_0 .var "n16", 0 0;
v01065298_0 .var "n16", 0 0;
v00E4D7F8_0 .net "n_in", 0 0, L_0097D2B0; 1 drivers
v010652F0_0 .net "n_in", 0 0, L_0106E118; 1 drivers
v00E4D850_0 .alias "opcode_in", 4 0, v00976DC8_0;
v01065348_0 .alias "opcode_in", 4 0, v01067ED0_0;
v00E4D8A8_0 .var "q16", 15 0;
v010653A0_0 .var "q16", 15 0;
v009742C8_0 .alias "q_mul_in", 15 0, v00977690_0;
v010653F8_0 .alias "q_mul_in", 15 0, v01068798_0;
v00974320_0 .var "q_out", 15 0;
v01065450_0 .var "q_out", 15 0;
v00974378_0 .var "reg_n_in", 0 0;
v010654A8_0 .var "reg_n_in", 0 0;
v009743D0_0 .var "reg_z_in", 0 0;
v01065530_0 .var "reg_z_in", 0 0;
v00974428_0 .var "regq16", 15 0;
v01065588_0 .var "v16", 0 0;
v009744D8_0 .var "v16", 0 0;
v01065638_0 .net "v_in", 0 0, L_0106E170; 1 drivers
v00974480_0 .net "v_in", 0 0, L_0097D308; 1 drivers
v010655E0_0 .var "z16", 0 0;
v00974530_0 .var "z16", 0 0;
v01065690_0 .net "z_in", 0 0, L_0106E1C8; 1 drivers
v00974588_0 .net "z_in", 0 0, L_0097D360; 1 drivers
E_00AF2038/0 .event edge, v010653A0_0, v01065298_0, v010655E0_0, v01065588_0;
E_007C4918/0 .event edge, v00E4D8A8_0, v00E4D7A0_0, v00974530_0, v009744D8_0;
E_00AF2038/1 .event edge, v01065190_0;
E_007C4918/1 .event edge, v00E4D698_0;
E_00AF2038 .event/or E_00AF2038/0, E_00AF2038/1;
E_007C4918 .event/or E_007C4918/0, E_007C4918/1;
E_00B4EAE0 .event edge, v010653A0_0, v01065348_0, v010654A8_0, v01065530_0;
E_007C4878 .event edge, v00E4D8A8_0, v00E4D850_0, v00974378_0, v009743D0_0;
E_00B4ED00/0 .event edge, v01064D70_0, v01065638_0, v01065348_0, v01064ED0_0;
E_007C4898/0 .event edge, v00E4D278_0, v00974480_0, v00E4D850_0, v00E4D3D8_0;
E_00B4ED00/1 .event edge, v01064DC8_0, v01064E78_0, v010653F8_0, v01064D18_0;
E_007C4898/1 .event edge, v00E4D2D0_0, v00E4D380_0, v009742C8_0, v00E4D220_0;
E_00B4ED00/2 .event edge, v01064CC0_0;
E_007C4898/2 .event edge, v00E4D1C8_0;
E_00B4ED00 .event/or E_00B4ED00/0, E_00B4ED00/1, E_00B4ED00/2;
E_007C4898 .event/or E_007C4898/0, E_007C4898/1, E_007C4898/2;
L_0106E0C0 .part L_0106E278, 0, 1;
L_0097D258 .part L_0097D410, 0, 1;
L_0106E118 .part L_0106E278, 3, 1;
L_0097D2B0 .part L_0097D410, 3, 1;
L_0106E170 .part L_0106E278, 1, 1;
L_0097D308 .part L_0097D410, 1, 1;
L_0106E1C8 .part L_0106E278, 2, 1;
L_0097D360 .part L_0097D410, 2, 1;
L_0106E220 .part v010689A8_0, 0, 2;
L_0097D3B8 .part v009778A0_0, 0, 2;
S_00B19180 .scope module, "a16" "arith16" 4 430, 4 131, S_00B18988;
S_007E3E28 .scope module, "a16" "arith16" 4 429, 4 131, S_007E3DA0;
 
 .timescale -9 -9;
 .timescale -9 -9;
v00E4D1C8_0 .alias "a_in", 15 0, v00E4D4E0_0;
v01064CC0_0 .alias "a_in", 15 0, v01064FD8_0;
v00E4D220_0 .alias "b_in", 15 0, v00E4D640_0;
v01064D18_0 .alias "b_in", 15 0, v01065138_0;
v00E4D278_0 .alias "carry_in", 0 0, v00E4D6F0_0;
v01064D70_0 .alias "carry_in", 0 0, v010651E8_0;
v00E4D2D0_0 .var "carry_out", 0 0;
v01064DC8_0 .var "carry_out", 0 0;
v00E4D328_0 .net "opcode_in", 1 0, L_0097D3B8; 1 drivers
v01064E20_0 .net "opcode_in", 1 0, L_0106E220; 1 drivers
v00E4D380_0 .var "overflow_out", 0 0;
v01064E78_0 .var "overflow_out", 0 0;
v00E4D3D8_0 .var "q_out", 15 0;
v01064ED0_0 .var "q_out", 15 0;
E_007C4078 .event edge, v00E4D328_0, v00E4D1C8_0, v00E4D220_0, v00E4D3D8_0;
E_00B4ED20 .event edge, v01064E20_0, v01064CC0_0, v01064D18_0, v01064ED0_0;
E_007C4098 .event edge, v00E4D328_0, v00E4D1C8_0, v00E4D220_0, v00E4D278_0;
E_00B4ECC0 .event edge, v01064E20_0, v01064CC0_0, v01064D18_0, v01064D70_0;
S_007E3FC0 .scope module, "regs" "regblock" 3 136, 5 7, S_007E41E0;
S_00B18B20 .scope module, "regs" "regblock" 3 137, 5 7, S_00B18D40;
 .timescale -9 -9;
 .timescale -9 -9;
L_0097DDD8 .functor BUFZ 16, v00E4C118_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0106EEA8 .functor BUFZ 16, v01063C10_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0097E078 .functor BUFZ 16, v00E4BB98_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0106F168 .functor BUFZ 16, v01063690_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0097E120 .functor OR 1, v00979A58_0, v00979950_0, C4<0>, C4<0>;
L_0106F210 .functor OR 1, v0106AFB8_0, v0106AEB0_0, C4<0>, C4<0>;
L_0097E338 .functor OR 1, v00979088_0, v009799A8_0, C4<0>, C4<0>;
L_0106F408 .functor OR 1, v0106A1E8_0, v0106AF08_0, C4<0>, C4<0>;
v00E4BEB0_0 .var "ACCA", 7 0;
v010639A8_0 .var "ACCA", 7 0;
v00E4BF08_0 .var "ACCB", 7 0;
v01063A00_0 .var "ACCB", 7 0;
v00E4BF60_0 .alias "CCR_in", 7 0, v00977CC0_0;
v01063A58_0 .alias "CCR_in", 7 0, v01068DC8_0;
v00E4BFB8_0 .alias "CCR_o", 7 0, v0097A030_0;
v01063AB0_0 .alias "CCR_o", 7 0, v0106B590_0;
v00E4C010_0 .var "DP", 7 0;
v01063B08_0 .var "DP", 7 0;
v00E4C068_0 .var "IX", 15 0;
v01063B60_0 .var "IX", 15 0;
v00E4C0C0_0 .var "IY", 15 0;
v01063BB8_0 .var "IY", 15 0;
v00E4C118_0 .var "PC", 15 0;
v01063C10_0 .var "PC", 15 0;
v00E4C170_0 .var "SS", 15 0;
v01063C68_0 .var "SS", 15 0;
v00E4C1C8_0 .var "SU", 15 0;
v01063CC0_0 .var "SU", 15 0;
v00E4C220_0 .net *"_s10", 15 0, C4<0000000000000001>; 1 drivers
v01063D18_0 .net *"_s10", 15 0, C4<0000000000000001>; 1 drivers
v00E4C278_0 .net *"_s14", 0 0, L_0097E120; 1 drivers
v01063D70_0 .net *"_s14", 0 0, L_0106F210; 1 drivers
v00E4C2D0_0 .net *"_s20", 0 0, L_0097E338; 1 drivers
v01063DC8_0 .net *"_s20", 0 0, L_0106F408; 1 drivers
v00E4C328_0 .net *"_s22", 3 0, C4<0101>; 1 drivers
v01063E20_0 .net *"_s22", 3 0, C4<0101>; 1 drivers
v00E4C380_0 .var "cff", 0 0;
v01063E78_0 .var "cff", 0 0;
v00E4C3D8_0 .net "clear_e", 0 0, v00978D18_0; 1 drivers
v01063ED0_0 .net "clear_e", 0 0, v01069E20_0; 1 drivers
v00E4C430_0 .alias "clk_in", 0 0, v00977DC8_0;
v01063F28_0 .alias "clk_in", 0 0, v01068ED0_0;
v00E4C488_0 .net "data_w", 15 0, v009782C8_0; 1 drivers
v01063F80_0 .net "data_w", 15 0, v010693A0_0; 1 drivers
v00E4C4E0_0 .net "dec_su", 0 0, v00978ED0_0; 1 drivers
v01063FD8_0 .net "dec_su", 0 0, v01069FD8_0; 1 drivers
v00E4C590_0 .net "ea_reg_post", 15 0, v00E4BAE8_0; 1 drivers
v01064088_0 .net "ea_reg_post", 15 0, v010635E0_0; 1 drivers
v00E4C538_0 .net "eamem_addr", 15 0, v00E4BB98_0; 1 drivers
v01064030_0 .net "eamem_addr", 15 0, v01063690_0; 1 drivers
v00E4C5E8_0 .alias "eamem_addr_o", 15 0, v0097A0E0_0;
v010640E0_0 .alias "eamem_addr_o", 15 0, v0106B640_0;
v00E4C640_0 .net "eapostbyte", 7 0, v00979138_0; 1 drivers
v01064138_0 .net "eapostbyte", 7 0, v0106A298_0; 1 drivers
v00E4C698_0 .var "eflag", 0 0;
v01064190_0 .var "eflag", 0 0;
v00E4C6F0_0 .net "exg_dest_r", 3 0, L_0097D620; 1 drivers
v010641E8_0 .net "exg_dest_r", 3 0, L_0106E488; 1 drivers
v00E4C748_0 .var "fflag", 0 0;
v01064240_0 .var "fflag", 0 0;
v00E4C7A0_0 .var "hflag", 0 0;
v01064298_0 .var "hflag", 0 0;
v00E4C7F8_0 .net "inc_pc", 0 0, v00979088_0; 1 drivers
v010642F0_0 .net "inc_pc", 0 0, v0106A1E8_0; 1 drivers
v00E4C850_0 .net "inc_su", 0 0, v009790E0_0; 1 drivers
v01064348_0 .net "inc_su", 0 0, v0106A240_0; 1 drivers
v00E4C8A8_0 .var "intff", 0 0;
v010643A0_0 .var "intff", 0 0;
v00E4C930_0 .net "left", 15 0, L_0097D518; 1 drivers
v010643F8_0 .net "left", 15 0, L_0106E380; 1 drivers
v00E4C988_0 .net "new_pc", 15 0, v009793D0_0; 1 drivers
v01064450_0 .net "new_pc", 15 0, v0106A930_0; 1 drivers
v00E4C9E0_0 .var "nff", 0 0;
v010644A8_0 .var "nff", 0 0;
v00E4CA38_0 .net "offset16", 15 0, L_0097D678; 1 drivers
v01064530_0 .net "offset16", 15 0, L_0106E4E0; 1 drivers
v00E4CA90_0 .net "path_left_addr", 3 0, v00978190_0; 1 drivers
v01064588_0 .net "path_left_addr", 3 0, v01069298_0; 1 drivers
v00E4CAE8_0 .var "path_left_data", 15 0;
v010645E0_0 .var "path_left_data", 15 0;
v00E4CB40_0 .alias "path_right_addr", 3 0, v00978588_0;
v01064638_0 .alias "path_right_addr", 3 0, v01069690_0;
v00E4CB98_0 .var "path_right_data", 15 0;
v01064690_0 .var "path_right_data", 15 0;
v00E4CBF0_0 .net "pc_plus_1", 15 0, L_0097D4C0; 1 drivers
v010646E8_0 .net "pc_plus_1", 15 0, L_0106E328; 1 drivers
v00E4CC48_0 .alias "reg_dp", 7 0, v0097A088_0;
v01064740_0 .alias "reg_dp", 7 0, v0106B5E8_0;
v00E4CCA0_0 .alias "reg_pc", 15 0, v0097A190_0;
v01064798_0 .alias "reg_pc", 15 0, v0106B6F0_0;
v00E4CCF8_0 .alias "reg_su", 15 0, v0097A240_0;
v010647F0_0 .alias "reg_su", 15 0, v0106B7A0_0;
v00E4CD50_0 .net "right", 15 0, L_0097D570; 1 drivers
v01064848_0 .net "right", 15 0, L_0106E3D8; 1 drivers
v00E4CDA8_0 .net "right_reg", 3 0, L_0097D5C8; 1 drivers
v010648A0_0 .net "right_reg", 3 0, L_0106E430; 1 drivers
v00E4CE00_0 .net "set_e", 0 0, v009798A0_0; 1 drivers
v010648F8_0 .net "set_e", 0 0, v0106AE00_0; 1 drivers
v00E4CE58_0 .alias "use_s", 0 0, v00978C10_0;
v01064950_0 .alias "use_s", 0 0, v01069D18_0;
v00E4CEB0_0 .var "vff", 0 0;
v010649A8_0 .var "vff", 0 0;
v00E4CF08_0 .net "write_exg", 0 0, v00979950_0; 1 drivers
v01064A00_0 .net "write_exg", 0 0, v0106AEB0_0; 1 drivers
v00E4CF60_0 .net "write_flags", 0 0, L_0097E530; 1 drivers
v01064A58_0 .net "write_flags", 0 0, L_0106F600; 1 drivers
v00E4CFB8_0 .net "write_pc", 0 0, v009799A8_0; 1 drivers
v01064AB0_0 .net "write_pc", 0 0, v0106AF08_0; 1 drivers
v00E4D010_0 .net "write_post", 0 0, v00979A00_0; 1 drivers
v01064B08_0 .net "write_post", 0 0, v0106AF60_0; 1 drivers
v00E4D068_0 .net "write_reg", 0 0, v009798F8_0; 1 drivers
v01064B60_0 .net "write_reg", 0 0, v0106AE58_0; 1 drivers
v00E4D0C0_0 .net "write_reg_addr", 3 0, v00978320_0; 1 drivers
v01064BB8_0 .net "write_reg_addr", 3 0, v010693F8_0; 1 drivers
v00E4D118_0 .net "write_tfr", 0 0, v00979A58_0; 1 drivers
v01064C10_0 .net "write_tfr", 0 0, v0106AFB8_0; 1 drivers
v00E4D170_0 .var "zff", 0 0;
v01064C68_0 .var "zff", 0 0;
E_007C12F8/0 .event edge, v00E4B590_0, v00E4B9E0_0, v00E4BA38_0, v00E4BCA0_0;
E_00AEF038/0 .event edge, v01063088_0, v010634A8_0, v01063530_0, v01063798_0;
E_007C12F8/1 .event edge, v00E4BCF8_0, v00E4BE58_0, v00E4BE00_0, v00E4BDA8_0;
E_00AEF038/1 .event edge, v010637F0_0, v01063950_0, v010638F8_0, v010638A0_0;
E_007C12F8/2 .event edge, v00E4C010_0, v00E4C698_0, v00E4C748_0, v00E4C7A0_0;
E_00AEF038/2 .event edge, v01063B08_0, v01064190_0, v01064240_0, v01064298_0;
E_007C12F8/3 .event edge, v00E4C8A8_0, v00E4C9E0_0, v00E4D170_0, v00E4CEB0_0;
E_00AEF038/3 .event edge, v010643A0_0, v010644A8_0, v01064C68_0, v010649A8_0;
E_007C12F8/4 .event edge, v00E4C380_0;
E_00AEF038/4 .event edge, v01063E78_0;
E_007C12F8 .event/or E_007C12F8/0, E_007C12F8/1, E_007C12F8/2, E_007C12F8/3, E_007C12F8/4;
E_00AEF038 .event/or E_00AEF038/0, E_00AEF038/1, E_00AEF038/2, E_00AEF038/3, E_00AEF038/4;
E_007C1318/0 .event edge, v00E4CA90_0, v00E4B9E0_0, v00E4BA38_0, v00E4BCA0_0;
E_00AEF058/0 .event edge, v01064588_0, v010634A8_0, v01063530_0, v01063798_0;
E_007C1318/1 .event edge, v00E4BCF8_0, v00E4BE58_0, v00E4BE00_0, v00E4BDA8_0;
E_00AEF058/1 .event edge, v010637F0_0, v01063950_0, v010638F8_0, v010638A0_0;
E_007C1318/2 .event edge, v00E4C010_0, v00E4C698_0, v00E4C748_0, v00E4C7A0_0;
E_00AEF058/2 .event edge, v01063B08_0, v01064190_0, v01064240_0, v01064298_0;
E_007C1318/3 .event edge, v00E4C8A8_0, v00E4C9E0_0, v00E4D170_0, v00E4CEB0_0;
E_00AEF058/3 .event edge, v010643A0_0, v010644A8_0, v01064C68_0, v010649A8_0;
E_007C1318/4 .event edge, v00E4C380_0;
E_00AEF058/4 .event edge, v01063E78_0;
E_007C1318 .event/or E_007C1318/0, E_007C1318/1, E_007C1318/2, E_007C1318/3, E_007C1318/4;
E_00AEF058 .event/or E_00AEF058/0, E_00AEF058/1, E_00AEF058/2, E_00AEF058/3, E_00AEF058/4;
LS_0097D410_0_0 .concat [ 1 1 1 1], v00E4C380_0, v00E4CEB0_0, v00E4D170_0, v00E4C9E0_0;
LS_0106E278_0_0 .concat [ 1 1 1 1], v01063E78_0, v010649A8_0, v01064C68_0, v010644A8_0;
LS_0097D410_0_4 .concat [ 1 1 1 1], v00E4C8A8_0, v00E4C7A0_0, v00E4C748_0, v00E4C698_0;
LS_0106E278_0_4 .concat [ 1 1 1 1], v010643A0_0, v01064298_0, v01064240_0, v01064190_0;
L_0097D410 .concat [ 4 4 0 0], LS_0097D410_0_0, LS_0097D410_0_4;
L_0106E278 .concat [ 4 4 0 0], LS_0106E278_0_0, LS_0106E278_0_4;
L_0097D468 .functor MUXZ 16, v00E4C1C8_0, v00E4C170_0, v00E4B850_0, C4<>;
L_0106E2D0 .functor MUXZ 16, v01063CC0_0, v01063C68_0, v01063348_0, C4<>;
L_0097D4C0 .arith/sum 16, v00E4C118_0, C4<0000000000000001>;
L_0106E328 .arith/sum 16, v01063C10_0, C4<0000000000000001>;
L_0097D518 .functor MUXZ 16, v009782C8_0, v00E4CAE8_0, L_0097E120, C4<>;
L_0106E380 .functor MUXZ 16, v010693A0_0, v010645E0_0, L_0106F210, C4<>;
L_0097D570 .functor MUXZ 16, v00E4CB98_0, L_0097D4C0, v00979088_0, C4<>;
L_0106E3D8 .functor MUXZ 16, v01064690_0, L_0106E328, v0106A1E8_0, C4<>;
L_0097D5C8 .functor MUXZ 4, L_0097D620, C4<0101>, L_0097E338, C4<>;
L_0106E430 .functor MUXZ 4, L_0106E488, C4<0101>, L_0106F408, C4<>;
S_007E3F38 .scope module, "ea" "calc_ea" 5 60, 5 191, S_007E3FC0;
S_00B18A98 .scope module, "ea" "calc_ea" 5 60, 5 191, S_00B18B20;
 .timescale -9 -9;
 .timescale -9 -9;
v00E4B9E0_0 .net "acca", 7 0, v00E4BEB0_0; 1 drivers
v010634A8_0 .net "acca", 7 0, v010639A8_0; 1 drivers
v00E4BA38_0 .net "accb", 7 0, v00E4BF08_0; 1 drivers
v01063530_0 .net "accb", 7 0, v01063A00_0; 1 drivers
v00E4BA90_0 .var "ea_reg", 15 0;
v01063588_0 .var "ea_reg", 15 0;
v00E4BAE8_0 .var "ea_reg_post", 15 0;
v010635E0_0 .var "ea_reg_post", 15 0;
v00E4BB40_0 .alias "ea_reg_post_o", 15 0, v00E4C590_0;
v01063638_0 .alias "ea_reg_post_o", 15 0, v01064088_0;
v00E4BB98_0 .var "eamem_addr", 15 0;
v01063690_0 .var "eamem_addr", 15 0;
v00E4BBF0_0 .alias "eamem_addr_o", 15 0, v00E4C538_0;
v010636E8_0 .alias "eamem_addr_o", 15 0, v01064030_0;
v00E4BC48_0 .alias "eapostbyte", 7 0, v00E4C640_0;
v01063740_0 .alias "eapostbyte", 7 0, v01064138_0;
v00E4BCA0_0 .net "ix", 15 0, v00E4C068_0; 1 drivers
v01063798_0 .net "ix", 15 0, v01063B60_0; 1 drivers
v00E4BCF8_0 .net "iy", 15 0, v00E4C0C0_0; 1 drivers
v010637F0_0 .net "iy", 15 0, v01063BB8_0; 1 drivers
v00E4BD50_0 .alias "offset16", 15 0, v00E4CA38_0;
v01063848_0 .alias "offset16", 15 0, v01064530_0;
v00E4BDA8_0 .net "pc", 15 0, v00E4C118_0; 1 drivers
v010638A0_0 .net "pc", 15 0, v01063C10_0; 1 drivers
v00E4BE00_0 .net "s", 15 0, v00E4C170_0; 1 drivers
v010638F8_0 .net "s", 15 0, v01063C68_0; 1 drivers
v00E4BE58_0 .net "u", 15 0, v00E4C1C8_0; 1 drivers
v01063950_0 .net "u", 15 0, v01063CC0_0; 1 drivers
E_007C34D8/0 .event edge, v00E48CA0_0, v00E4BA90_0, v00E4BAE8_0, v00E4BA38_0;
E_00AF1218/0 .event edge, v01060798_0, v01063588_0, v010635E0_0, v01063530_0;
E_007C34D8/1 .event edge, v00E4B9E0_0, v00E4BD50_0, v00E4BDA8_0;
E_00AF1218/1 .event edge, v010634A8_0, v01063848_0, v010638A0_0;
E_007C34D8 .event/or E_007C34D8/0, E_007C34D8/1;
E_00AF1218 .event/or E_00AF1218/0, E_00AF1218/1;
E_007C34F8 .event edge, v00E48CA0_0, v00E4BA90_0;
E_00AF1238 .event edge, v01060798_0, v01063588_0;
E_007C2B38/0 .event edge, v00E48CA0_0, v00E4BCA0_0, v00E4BCF8_0, v00E4BE58_0;
E_00AF0878/0 .event edge, v01060798_0, v01063798_0, v010637F0_0, v01063950_0;
E_007C2B38/1 .event edge, v00E4BE00_0;
E_00AF0878/1 .event edge, v010638F8_0;
E_007C2B38 .event/or E_007C2B38/0, E_007C2B38/1;
E_00AF0878 .event/or E_00AF0878/0, E_00AF0878/1;
S_007E4048 .scope module, "decs" "decoders" 3 167, 6 9, S_007E41E0;
S_00B18BA8 .scope module, "decs" "decoders" 3 168, 6 9, S_00B18D40;
 .timescale -9 -9;
 .timescale -9 -9;
L_0097E680 .functor OR 1, L_0097D728, v00E4B8A8_0, C4<0>, C4<0>;
L_0106F750 .functor OR 1, L_0106E590, v010633A0_0, C4<0>, C4<0>;
L_0097E798 .functor OR 1, L_0097E680, L_0097D888, C4<0>, C4<0>;
L_0106F868 .functor OR 1, L_0106F750, L_0106E6F0, C4<0>, C4<0>;
L_00E4F150 .functor OR 1, L_00E4F858, L_00E4F908, C4<0>, C4<0>;
L_01070CE8 .functor OR 1, L_01071188, L_01071238, C4<0>, C4<0>;
L_00E4F380 .functor BUFZ 2, v00E4AC48_0, C4<00>, C4<00>, C4<00>;
L_01070F18 .functor BUFZ 2, v01062740_0, C4<00>, C4<00>, C4<00>;
L_00E4F428 .functor BUFZ 2, v00E4B748_0, C4<00>, C4<00>, C4<00>;
L_01070FC0 .functor BUFZ 2, v01063240_0, C4<00>, C4<00>, C4<00>;
L_00E4F498 .functor BUFZ 2, v00E4AB98_0, C4<00>, C4<00>, C4<00>;
L_01071030 .functor BUFZ 2, v01062690_0, C4<00>, C4<00>, C4<00>;
L_00E4F5B0 .functor AND 1, L_00E4FA10, L_00E4FA68, C4<1>, C4<1>;
L_01078168 .functor AND 1, L_01071340, L_01071398, C4<1>, C4<1>;
L_00E4F658 .functor AND 1, L_00E4F5B0, L_00E4FAC0, C4<1>, C4<1>;
L_01078210 .functor AND 1, L_01078168, L_010713F0, C4<1>, C4<1>;
L_00E4F770 .functor BUFZ 5, v00E4A988_0, C4<00000>, C4<00000>, C4<00000>;
L_01078328 .functor BUFZ 5, v01061450_0, C4<00000>, C4<00000>, C4<00000>;
v00E48CF8_0 .net *"_s0", 3 0, C4<1111>; 1 drivers
v010607F0_0 .net *"_s0", 3 0, C4<1111>; 1 drivers
v00E48D50_0 .net *"_s10", 2 0, L_0097D830; 1 drivers
v01060848_0 .net *"_s10", 2 0, L_0106E698; 1 drivers
v00E48DA8_0 .net *"_s13", 0 0, C4<0>; 1 drivers
v010608A0_0 .net *"_s13", 0 0, C4<0>; 1 drivers
v00E48E00_0 .net *"_s14", 2 0, C4<010>; 1 drivers
v010608F8_0 .net *"_s14", 2 0, C4<010>; 1 drivers
v00E48E58_0 .net *"_s16", 0 0, L_0097D888; 1 drivers
v01060950_0 .net *"_s16", 0 0, L_0106E6F0; 1 drivers
v00E48EB0_0 .net *"_s20", 3 0, C4<1111>; 1 drivers
v010609A8_0 .net *"_s20", 3 0, C4<1111>; 1 drivers
v00E48F08_0 .net *"_s22", 0 0, L_0097D8E0; 1 drivers
v01060A00_0 .net *"_s22", 0 0, L_0106E748; 1 drivers
v00E48F60_0 .net *"_s24", 3 0, C4<1000>; 1 drivers
v01060A58_0 .net *"_s24", 3 0, C4<1000>; 1 drivers
v00E48FB8_0 .net *"_s26", 0 0, L_0097D938; 1 drivers
v01060AB0_0 .net *"_s26", 0 0, L_0106E7A0; 1 drivers
v00E49010_0 .net *"_s28", 3 0, C4<1000>; 1 drivers
v01060B08_0 .net *"_s28", 3 0, C4<1000>; 1 drivers
v00E49068_0 .net *"_s30", 0 0, L_0097D990; 1 drivers
v01060B60_0 .net *"_s30", 0 0, L_0106E7F8; 1 drivers
v00E490C0_0 .net/s *"_s32", 0 0, C4<1>; 1 drivers
v01060BB8_0 .net/s *"_s32", 0 0, C4<1>; 1 drivers
v00E49118_0 .net/s *"_s34", 0 0, C4<0>; 1 drivers
v01060C10_0 .net/s *"_s34", 0 0, C4<0>; 1 drivers
v00E49170_0 .net *"_s36", 0 0, L_0097D9E8; 1 drivers
v01060C68_0 .net *"_s36", 0 0, L_0106E850; 1 drivers
v00E491C8_0 .net *"_s4", 3 0, C4<1000>; 1 drivers
v01060CC0_0 .net *"_s4", 3 0, C4<1000>; 1 drivers
v00E49220_0 .net *"_s40", 2 0, L_00E4F800; 1 drivers
v01060D18_0 .net *"_s40", 2 0, L_01071130; 1 drivers
v00E49278_0 .net *"_s43", 0 0, C4<0>; 1 drivers
v01060D70_0 .net *"_s43", 0 0, C4<0>; 1 drivers
v00E492D0_0 .net *"_s44", 2 0, C4<000>; 1 drivers
v01060DC8_0 .net *"_s44", 2 0, C4<000>; 1 drivers
v00E49328_0 .net *"_s46", 0 0, L_00E4F858; 1 drivers
v01060E20_0 .net *"_s46", 0 0, L_01071188; 1 drivers
v00E493D8_0 .net *"_s48", 2 0, L_00E4F8B0; 1 drivers
v01060ED0_0 .net *"_s48", 2 0, L_010711E0; 1 drivers
v00E49380_0 .net *"_s51", 0 0, C4<0>; 1 drivers
v01060E78_0 .net *"_s51", 0 0, C4<0>; 1 drivers
v00E49430_0 .net *"_s52", 2 0, C4<000>; 1 drivers
v01060F28_0 .net *"_s52", 2 0, C4<000>; 1 drivers
v00E49488_0 .net *"_s54", 0 0, L_00E4F908; 1 drivers
v01060F80_0 .net *"_s54", 0 0, L_01071238; 1 drivers
v00E494E0_0 .net *"_s58", 2 0, L_00E4F960; 1 drivers
v01060FD8_0 .net *"_s58", 2 0, L_01071290; 1 drivers
v00E49538_0 .net *"_s6", 0 0, L_0097D728; 1 drivers
v01061030_0 .net *"_s6", 0 0, L_0106E590; 1 drivers
v00E49590_0 .net *"_s61", 0 0, C4<0>; 1 drivers
v01061088_0 .net *"_s61", 0 0, C4<0>; 1 drivers
v00E495E8_0 .net *"_s62", 2 0, C4<000>; 1 drivers
v010610E0_0 .net *"_s62", 2 0, C4<000>; 1 drivers
v00E49640_0 .net *"_s72", 4 0, C4<00000>; 1 drivers
v01061138_0 .net *"_s72", 4 0, C4<00000>; 1 drivers
v00E49698_0 .net *"_s74", 0 0, L_00E4FA10; 1 drivers
v01061190_0 .net *"_s74", 0 0, L_01071340; 1 drivers
v00E496F0_0 .net *"_s76", 7 0, C4<00011010>; 1 drivers
v010611E8_0 .net *"_s76", 7 0, C4<00011010>; 1 drivers
v00E49748_0 .net *"_s78", 0 0, L_00E4FA68; 1 drivers
v01061240_0 .net *"_s78", 0 0, L_01071398; 1 drivers
v00E497A0_0 .net *"_s8", 0 0, L_0097E680; 1 drivers
v01061298_0 .net *"_s8", 0 0, L_0106F750; 1 drivers
v00E497F8_0 .net *"_s80", 0 0, L_00E4F5B0; 1 drivers
v010612F0_0 .net *"_s80", 0 0, L_01078168; 1 drivers
v00E49850_0 .net *"_s82", 7 0, C4<00011100>; 1 drivers
v01061348_0 .net *"_s82", 7 0, C4<00011100>; 1 drivers
v00E498A8_0 .net *"_s84", 0 0, L_00E4FAC0; 1 drivers
v010613A0_0 .net *"_s84", 0 0, L_010713F0; 1 drivers
v00E4A930_0 .alias "alu_opcode", 4 0, v00978638_0;
v010613F8_0 .alias "alu_opcode", 4 0, v01069740_0;
v00E4A988_0 .var "aop", 4 0;
v01061450_0 .var "aop", 4 0;
v00E4A9E0_0 .alias "clk_in", 0 0, v00977DC8_0;
v010614A8_0 .alias "clk_in", 0 0, v01068ED0_0;
v00E4AA38_0 .alias "dest_flags_o", 0 0, v00978CC0_0;
v01062530_0 .alias "dest_flags_o", 0 0, v01069DC8_0;
v00E4AA90_0 .var "dest_memtype_lo", 1 0;
v01062588_0 .var "dest_memtype_lo", 1 0;
v00E4AAE8_0 .alias "dest_memtype_o", 1 0, v00978740_0;
v010625E0_0 .alias "dest_memtype_o", 1 0, v01069848_0;
v00E4AB40_0 .var "dest_reg_lo", 3 0;
v01062638_0 .var "dest_reg_lo", 3 0;
v00E4AB98_0 .var "dm", 1 0;
v01062690_0 .var "dm", 1 0;
v00E4ABF0_0 .var "dr", 3 0;
v010626E8_0 .var "dr", 3 0;
v00E4AC48_0 .var "lm", 1 0;
v01062740_0 .var "lm", 1 0;
v00E4ACA0_0 .var "lr", 3 0;
v01062798_0 .var "lr", 3 0;
v00E4ACF8_0 .var "mo", 2 0;
v010627F0_0 .var "mo", 2 0;
v00E4AD50_0 .alias "mode", 2 0, v00978B08_0;
v01062848_0 .alias "mode", 2 0, v01069C10_0;
v00E4ADA8_0 .var "op_CWAI", 0 0;
v010628A0_0 .var "op_CWAI", 0 0;
v00E4AE00_0 .var "op_EXG", 0 0;
v010628F8_0 .var "op_EXG", 0 0;
v00E4AE58_0 .var "op_JMP", 0 0;
v01062950_0 .var "op_JMP", 0 0;
v00E4AEB0_0 .var "op_JSR", 0 0;
v010629A8_0 .var "op_JSR", 0 0;
v00E4AF08_0 .var "op_LEA", 0 0;
v01062A00_0 .var "op_LEA", 0 0;
v00E4AF60_0 .var "op_MUL", 0 0;
v01062A58_0 .var "op_MUL", 0 0;
v00E4AFB8_0 .var "op_PULL", 0 0;
v01062AB0_0 .var "op_PULL", 0 0;
v00E4B010_0 .var "op_PUSH", 0 0;
v01062B08_0 .var "op_PUSH", 0 0;
v00E4B068_0 .var "op_RTI", 0 0;
v01062B60_0 .var "op_RTI", 0 0;
v00E4B0C0_0 .var "op_RTS", 0 0;
v01062BB8_0 .var "op_RTS", 0 0;
v00E4B118_0 .var "op_SWI", 0 0;
v01062C10_0 .var "op_SWI", 0 0;
v00E4B170_0 .var "op_SYNC", 0 0;
v01062C68_0 .var "op_SYNC", 0 0;
v00E4B1C8_0 .var "op_TFR", 0 0;
v01062CC0_0 .var "op_TFR", 0 0;
v00E4B220_0 .net "opcode", 7 0, v00979530_0; 1 drivers
v01062D18_0 .net "opcode", 7 0, v0106AA90_0; 1 drivers
v00E4B278_0 .alias "operand_read_o", 0 0, v00978A58_0;
v01062D70_0 .alias "operand_read_o", 0 0, v01069B60_0;
v00E4B2D0_0 .alias "operand_write_o", 0 0, v00978AB0_0;
v01062DC8_0 .alias "operand_write_o", 0 0, v01069BB8_0;
v00E4B328_0 .var "p2", 0 0;
v01062E20_0 .var "p2", 0 0;
v00E4B380_0 .var "p3", 0 0;
v01062E78_0 .var "p3", 0 0;
v00E4B3D8_0 .net "page2_valid", 0 0, v00979588_0; 1 drivers
v01062ED0_0 .net "page2_valid", 0 0, v0106AAE8_0; 1 drivers
v00E4B430_0 .net "page3_valid", 0 0, v009795E0_0; 1 drivers
v01062F28_0 .net "page3_valid", 0 0, v0106AB40_0; 1 drivers
v00E4B488_0 .var "path_left_addr_lo", 3 0;
v01062F80_0 .var "path_left_addr_lo", 3 0;
v00E4B4E0_0 .var "path_left_memtype_lo", 1 0;
v01062FD8_0 .var "path_left_memtype_lo", 1 0;
v00E4B538_0 .alias "path_left_memtype_o", 1 0, v00978A00_0;
v01063030_0 .alias "path_left_memtype_o", 1 0, v01069B08_0;
v00E4B590_0 .var "path_right_addr_lo", 3 0;
v01063088_0 .var "path_right_addr_lo", 3 0;
v00E4B5E8_0 .var "path_right_memtype_lo", 1 0;
v010630E0_0 .var "path_right_memtype_lo", 1 0;
v00E4B640_0 .alias "path_right_memtype_o", 1 0, v00978B60_0;
v01063138_0 .alias "path_right_memtype_o", 1 0, v01069C68_0;
v00E4B698_0 .net "postbyte0", 7 0, v00979638_0; 1 drivers
v01063190_0 .net "postbyte0", 7 0, v0106AB98_0; 1 drivers
v00E4B6F0_0 .alias "result_size", 0 0, v00978690_0;
v010631E8_0 .alias "result_size", 0 0, v01069798_0;
v00E4B748_0 .var "rm", 1 0;
v01063240_0 .var "rm", 1 0;
v00E4B7A0_0 .var "rr", 3 0;
v01063298_0 .var "rr", 3 0;
v00E4B7F8_0 .alias "source_size", 0 0, v00978BB8_0;
v010632F0_0 .alias "source_size", 0 0, v01069CC0_0;
v00E4B850_0 .var "ss", 0 0;
v01063348_0 .var "ss", 0 0;
v00E4B8A8_0 .var "sz", 0 0;
v010633A0_0 .var "sz", 0 0;
v00E4B930_0 .alias "use_s", 0 0, v00978C10_0;
v010633F8_0 .alias "use_s", 0 0, v01069D18_0;
v00E4B988_0 .alias "write_dest", 0 0, v00978C68_0;
v01063450_0 .alias "write_dest", 0 0, v01069D70_0;
E_007C0D18 .event posedge, v00E4A9E0_0;
E_00AEEA58 .event posedge, v010614A8_0;
E_007C0E18 .event edge, v007E20F8_0, v00E48930_0, v00E4B328_0, v00E4B380_0;
E_00AEEB58 .event edge, v00B12E00_0, v00B12EB0_0, v01062E20_0, v01062E78_0;
L_0097D7D8 .cmp/ne 4, v00E4ABF0_0, C4<1111>;
L_0106E640 .cmp/ne 4, v010626E8_0, C4<1111>;
L_0097D728 .cmp/gt 4, C4<1000>, v00E4ACA0_0;
L_0106E590 .cmp/gt 4, C4<1000>, v01062798_0;
L_0097D830 .concat [ 2 1 0 0], v00E4B748_0, C4<0>;
L_0106E698 .concat [ 2 1 0 0], v01063240_0, C4<0>;
L_0097D888 .cmp/eq 3, L_0097D830, C4<010>;
L_0106E6F0 .cmp/eq 3, L_0106E698, C4<010>;
L_0097D8E0 .cmp/eq 4, v00E4ABF0_0, C4<1111>;
L_0106E748 .cmp/eq 4, v010626E8_0, C4<1111>;
L_0097D938 .cmp/gt 4, C4<1000>, v00E4ACA0_0;
L_0106E7A0 .cmp/gt 4, C4<1000>, v01062798_0;
L_0097D990 .cmp/gt 4, C4<1000>, v00E4ABF0_0;
L_0106E7F8 .cmp/gt 4, C4<1000>, v010626E8_0;
L_0097D9E8 .functor MUXZ 1, C4<0>, C4<1>, L_0097D990, C4<>;
L_0106E850 .functor MUXZ 1, C4<0>, C4<1>, L_0106E7F8, C4<>;
L_0097DA40 .functor MUXZ 1, L_0097D9E8, L_0097D938, L_0097D8E0, C4<>;
L_0106E8A8 .functor MUXZ 1, L_0106E850, L_0106E7A0, L_0106E748, C4<>;
L_00E4F800 .concat [ 2 1 0 0], v00E4AC48_0, C4<0>;
L_01071130 .concat [ 2 1 0 0], v01062740_0, C4<0>;
L_00E4F858 .cmp/ne 3, L_00E4F800, C4<000>;
L_01071188 .cmp/ne 3, L_01071130, C4<000>;
L_00E4F8B0 .concat [ 2 1 0 0], v00E4B748_0, C4<0>;
L_010711E0 .concat [ 2 1 0 0], v01063240_0, C4<0>;
L_00E4F908 .cmp/ne 3, L_00E4F8B0, C4<000>;
L_01071238 .cmp/ne 3, L_010711E0, C4<000>;
L_00E4F960 .concat [ 2 1 0 0], v00E4AB98_0, C4<0>;
L_01071290 .concat [ 2 1 0 0], v01062690_0, C4<0>;
L_00E4F9B8 .cmp/ne 3, L_00E4F960, C4<000>;
L_010712E8 .cmp/ne 3, L_01071290, C4<000>;
L_00E4FA10 .cmp/ne 5, v00E4A988_0, C4<00000>;
L_01071340 .cmp/ne 5, v01061450_0, C4<00000>;
L_00E4FA68 .cmp/ne 8, v00979530_0, C4<00011010>;
L_01071398 .cmp/ne 8, v0106AA90_0, C4<00011010>;
L_00E4FAC0 .cmp/ne 8, v00979530_0, C4<00011100>;
L_010713F0 .cmp/ne 8, v0106AA90_0, C4<00011100>;
S_007E40D0 .scope module, "dec_ea" "decode_ea" 3 206, 6 738, S_007E41E0;
S_00B18C30 .scope module, "dec_ea" "decode_ea" 3 207, 6 738, S_00B18D40;
 .timescale -9 -9;
 .timescale -9 -9;
L_007D21D0 .functor AND 1, L_00E4FB18, L_00E4FB70, C4<1>, C4<1>;
L_00AFEE38 .functor AND 1, L_01071448, L_010714A0, C4<1>, C4<1>;
v00E48988_0 .net *"_s1", 0 0, L_00E4FB18; 1 drivers
v00B12F08_0 .net *"_s1", 0 0, L_01071448; 1 drivers
v00E489E0_0 .net *"_s3", 0 0, L_00E4FB70; 1 drivers
v00B12F60_0 .net *"_s3", 0 0, L_010714A0; 1 drivers
v00E48A38_0 .alias "ea_is_indirect_o", 0 0, v00978798_0;
v01060530_0 .alias "ea_is_indirect_o", 0 0, v010698A0_0;
v00E48A90_0 .var "ea_ofs16_o", 0 0;
v01060588_0 .var "ea_ofs16_o", 0 0;
v00E48AE8_0 .var "ea_ofs5_o", 0 0;
v010605E0_0 .var "ea_ofs5_o", 0 0;
v00E48B40_0 .var "ea_ofs8_o", 0 0;
v01060638_0 .var "ea_ofs8_o", 0 0;
v00E48B98_0 .var "ea_write_back_o", 0 0;
v01060690_0 .var "ea_write_back_o", 0 0;
v00E48BF0_0 .var "eabase_o", 3 0;
v010606E8_0 .var "eabase_o", 3 0;
v00E48C48_0 .var "eaindex_o", 3 0;
v01060740_0 .var "eaindex_o", 3 0;
v00E48CA0_0 .alias "eapostbyte", 7 0, v00E4C640_0;
v01060798_0 .alias "eapostbyte", 7 0, v01064138_0;
E_007C11B8 .event edge, v00E48CA0_0;
E_00AEEEF8 .event edge, v01060798_0;
L_00E4FB18 .part v00979138_0, 7, 1;
L_01071448 .part v0106A298_0, 7, 1;
L_00E4FB70 .part v00979138_0, 4, 1;
L_010714A0 .part v0106A298_0, 4, 1;
S_007E4158 .scope module, "test_cond" "test_condition" 3 219, 6 801, S_007E41E0;
S_00B18CB8 .scope module, "test_cond" "test_condition" 3 220, 6 802, S_00B18D40;
 .timescale -9 -9;
 .timescale -9 -9;
v007E1FF0_0 .alias "CCR", 7 0, v0097A030_0;
v00B12CF8_0 .alias "CCR", 7 0, v0106B590_0;
v007E2048_0 .var "cond_taken", 0 0;
v00B12D50_0 .var "cond_taken", 0 0;
v007E20A0_0 .net "op", 7 0, L_00E4FBC8; 1 drivers
v00B12DA8_0 .net "op", 7 0, L_010714F8; 1 drivers
v007E20F8_0 .alias "opcode", 7 0, v00E4B220_0;
v00B12E00_0 .alias "opcode", 7 0, v01062D18_0;
v007E2150_0 .alias "page2_valid", 0 0, v00E4B3D8_0;
v00B12E58_0 .alias "page2_valid", 0 0, v01062ED0_0;
v00E48930_0 .alias "postbyte0", 7 0, v00E4B698_0;
v00B12EB0_0 .alias "postbyte0", 7 0, v01063190_0;
E_007C1198 .event edge, v007E20F8_0, v007E20A0_0, v007E1FF0_0;
E_00AEEED8 .event edge, v00B12E00_0, v00B12DA8_0, v00B12CF8_0;
L_00E4FBC8 .functor MUXZ 8, v00979530_0, v00979638_0, v00979588_0, C4<>;
L_010714F8 .functor MUXZ 8, v0106AA90_0, v0106AB98_0, v0106AAE8_0, C4<>;
S_007E4268 .scope module, "imem" "memory" 2 33, 2 49, S_007E47B8;
S_00B18DC8 .scope module, "imem" "memory" 2 33, 2 62, S_00B19318;
 .timescale -9 -9;
 .timescale -9 -9;
v007E1C28_0 .alias "addr", 15 0, v0097AB20_0;
v00B12930_0 .alias "addr", 15 0, v0106B850_0;
v007E1C80_0 .alias "data_i", 7 0, v0097AC80_0;
v00B12988_0 .alias "data_i", 7 0, v0106B9E0_0;
v007E1CD8_0 .alias "data_o", 7 0, v0097AC28_0;
v00B129E0_0 .alias "data_o", 7 0, v0106B988_0;
v007E1D30_0 .var "latecheddata", 7 0;
v00B12A38_0 .var "latecheddata", 7 0;
v007E1D88 .array "mem", 0 65535, 7 0;
v00B12A90 .array "mem", 0 65535, 7 0;
v007E1D88_0 .array/port v007E1D88, 0;
v00B12A90_0 .array/port v00B12A90, 0;
v007E1DE0_0 .net "mem0", 7 0, v007E1D88_0; 1 drivers
v00B12AE8_0 .net "mem0", 7 0, v00B12A90_0; 1 drivers
v007E1D88_1 .array/port v007E1D88, 1;
v00B12A90_1 .array/port v00B12A90, 1;
v007E1E38_0 .net "mem1", 7 0, v007E1D88_1; 1 drivers
v00B12B40_0 .net "mem1", 7 0, v00B12A90_1; 1 drivers
v007E1D88_2 .array/port v007E1D88, 2;
v00B12A90_2 .array/port v00B12A90, 2;
v007E1E90_0 .net "mem2", 7 0, v007E1D88_2; 1 drivers
v00B12B98_0 .net "mem2", 7 0, v00B12A90_2; 1 drivers
v007E1D88_3 .array/port v007E1D88, 3;
v00B12A90_3 .array/port v00B12A90, 3;
v007E1EE8_0 .net "mem3", 7 0, v007E1D88_3; 1 drivers
v00B12BF0_0 .net "mem3", 7 0, v00B12A90_3; 1 drivers
v007E1F40_0 .net "oe", 0 0, L_00E4FC20; 1 drivers
v00B12C48_0 .net "oe", 0 0, L_010715A8; 1 drivers
v007E1F98_0 .net "we", 0 0, L_00E4FC78; 1 drivers
v00B12CA0_0 .net "we", 0 0, L_01071600; 1 drivers
E_007C3F38 .event negedge, v007E1F40_0;
E_00AF1C58 .event negedge, v00B12C48_0;
E_007C3A18 .event negedge, v007E1F98_0;
E_00AF1738 .event negedge, v00B12CA0_0;
    .scope S_007E4400;
    .scope S_00B18ED8;
T_0 ;
T_0 ;
    %wait E_007C0D18;
    %wait E_00AEEA58;
    %load/v 8, v00977190_0, 1; Only need 1 of 8 bits
    %load/v 8, v01068298_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.0, 8;
    %jmp/0  T_0.0, 8;
    %load/v 9, v009771E8_0, 8;
    %load/v 9, v010682F0_0, 8;
    %mov 17, 0, 8;
    %mov 17, 0, 8;
    %jmp/1  T_0.2, 8;
    %jmp/1  T_0.2, 8;
T_0.0 ; End of true expr.
T_0.0 ; End of true expr.
    %jmp/0  T_0.1, 8;
    %jmp/0  T_0.1, 8;
 ; End of false expr.
 ; End of false expr.
Line 765... Line 768...
    %mov 9, 0, 16; Return false value
    %mov 9, 0, 16; Return false value
T_0.2 ;
T_0.2 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_0.6, 4;
    %jmp/1 T_0.6, 4;
    %load/x1p 8, v00977190_0, 1;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.7;
    %jmp T_0.7;
T_0.6 ;
T_0.6 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_0.7 ;
T_0.7 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.3, 8;
    %jmp/0  T_0.3, 8;
    %mov 25, 0, 1;
    %mov 25, 0, 1;
    %load/v 26, v009771E8_0, 8;
    %load/v 26, v010682F0_0, 8;
    %mov 34, 0, 7;
    %mov 34, 0, 7;
    %jmp/1  T_0.5, 8;
    %jmp/1  T_0.5, 8;
T_0.3 ; End of true expr.
T_0.3 ; End of true expr.
    %jmp/0  T_0.4, 8;
    %jmp/0  T_0.4, 8;
 ; End of false expr.
 ; End of false expr.
Line 788... Line 791...
T_0.5 ;
T_0.5 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_0.11, 4;
    %jmp/1 T_0.11, 4;
    %load/x1p 8, v00977190_0, 1;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.12;
    %jmp T_0.12;
T_0.11 ;
T_0.11 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_0.12 ;
T_0.12 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.8, 8;
    %jmp/0  T_0.8, 8;
    %mov 25, 0, 2;
    %mov 25, 0, 2;
    %load/v 27, v009771E8_0, 8;
    %load/v 27, v010682F0_0, 8;
    %mov 35, 0, 6;
    %mov 35, 0, 6;
    %jmp/1  T_0.10, 8;
    %jmp/1  T_0.10, 8;
T_0.8 ; End of true expr.
T_0.8 ; End of true expr.
    %jmp/0  T_0.9, 8;
    %jmp/0  T_0.9, 8;
 ; End of false expr.
 ; End of false expr.
Line 811... Line 814...
T_0.10 ;
T_0.10 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_0.16, 4;
    %jmp/1 T_0.16, 4;
    %load/x1p 8, v00977190_0, 1;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.17;
    %jmp T_0.17;
T_0.16 ;
T_0.16 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_0.17 ;
T_0.17 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.13, 8;
    %jmp/0  T_0.13, 8;
    %mov 25, 0, 3;
    %mov 25, 0, 3;
    %load/v 28, v009771E8_0, 8;
    %load/v 28, v010682F0_0, 8;
    %mov 36, 0, 5;
    %mov 36, 0, 5;
    %jmp/1  T_0.15, 8;
    %jmp/1  T_0.15, 8;
T_0.13 ; End of true expr.
T_0.13 ; End of true expr.
    %jmp/0  T_0.14, 8;
    %jmp/0  T_0.14, 8;
 ; End of false expr.
 ; End of false expr.
Line 832... Line 835...
T_0.14 ;
T_0.14 ;
    %mov 25, 0, 16; Return false value
    %mov 25, 0, 16; Return false value
T_0.15 ;
T_0.15 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v009772C8_0, 0, 9;
    %assign/v0 v010683A0_0, 0, 9;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_0.21, 4;
    %jmp/1 T_0.21, 4;
    %load/x1p 8, v00977190_0, 1;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.22;
    %jmp T_0.22;
T_0.21 ;
T_0.21 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_0.22 ;
T_0.22 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.18, 8;
    %jmp/0  T_0.18, 8;
    %mov 9, 0, 4;
    %mov 9, 0, 4;
    %load/v 13, v009771E8_0, 8;
    %load/v 13, v010682F0_0, 8;
    %mov 21, 0, 4;
    %mov 21, 0, 4;
    %jmp/1  T_0.20, 8;
    %jmp/1  T_0.20, 8;
T_0.18 ; End of true expr.
T_0.18 ; End of true expr.
    %jmp/0  T_0.19, 8;
    %jmp/0  T_0.19, 8;
 ; End of false expr.
 ; End of false expr.
Line 858... Line 861...
    %mov 9, 0, 16; Return false value
    %mov 9, 0, 16; Return false value
T_0.20 ;
T_0.20 ;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_0.26, 4;
    %jmp/1 T_0.26, 4;
    %load/x1p 8, v00977190_0, 1;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.27;
    %jmp T_0.27;
T_0.26 ;
T_0.26 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_0.27 ;
T_0.27 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.23, 8;
    %jmp/0  T_0.23, 8;
    %mov 25, 0, 5;
    %mov 25, 0, 5;
    %load/v 30, v009771E8_0, 8;
    %load/v 30, v010682F0_0, 8;
    %mov 38, 0, 3;
    %mov 38, 0, 3;
    %jmp/1  T_0.25, 8;
    %jmp/1  T_0.25, 8;
T_0.23 ; End of true expr.
T_0.23 ; End of true expr.
    %jmp/0  T_0.24, 8;
    %jmp/0  T_0.24, 8;
 ; End of false expr.
 ; End of false expr.
Line 881... Line 884...
T_0.25 ;
T_0.25 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_0.31, 4;
    %jmp/1 T_0.31, 4;
    %load/x1p 8, v00977190_0, 1;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.32;
    %jmp T_0.32;
T_0.31 ;
T_0.31 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_0.32 ;
T_0.32 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.28, 8;
    %jmp/0  T_0.28, 8;
    %mov 25, 0, 6;
    %mov 25, 0, 6;
    %load/v 31, v009771E8_0, 8;
    %load/v 31, v010682F0_0, 8;
    %mov 39, 0, 2;
    %mov 39, 0, 2;
    %jmp/1  T_0.30, 8;
    %jmp/1  T_0.30, 8;
T_0.28 ; End of true expr.
T_0.28 ; End of true expr.
    %jmp/0  T_0.29, 8;
    %jmp/0  T_0.29, 8;
 ; End of false expr.
 ; End of false expr.
Line 904... Line 907...
T_0.30 ;
T_0.30 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_0.36, 4;
    %jmp/1 T_0.36, 4;
    %load/x1p 8, v00977190_0, 1;
    %load/x1p 8, v01068298_0, 1;
    %jmp T_0.37;
    %jmp T_0.37;
T_0.36 ;
T_0.36 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_0.37 ;
T_0.37 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_0.33, 8;
    %jmp/0  T_0.33, 8;
    %mov 25, 0, 7;
    %mov 25, 0, 7;
    %load/v 32, v009771E8_0, 8;
    %load/v 32, v010682F0_0, 8;
    %mov 40, 0, 1;
    %mov 40, 0, 1;
    %jmp/1  T_0.35, 8;
    %jmp/1  T_0.35, 8;
T_0.33 ; End of true expr.
T_0.33 ; End of true expr.
    %jmp/0  T_0.34, 8;
    %jmp/0  T_0.34, 8;
 ; End of false expr.
 ; End of false expr.
Line 924... Line 927...
    %jmp  T_0.35;
    %jmp  T_0.35;
T_0.34 ;
T_0.34 ;
    %mov 25, 0, 16; Return false value
    %mov 25, 0, 16; Return false value
T_0.35 ;
T_0.35 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %load/v 25, v009772C8_0, 16;
    %load/v 25, v010683A0_0, 16;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00977320_0, 0, 9;
    %assign/v0 v010683F8_0, 0, 9;
    %jmp T_0;
    %jmp T_0;
    .thread T_0;
    .thread T_0;
    .scope S_007E4488;
    .scope S_00B18F60;
T_1 ;
T_1 ;
    %wait E_0081C7C0;
    %wait E_00B4EA40;
    %load/v 8, v00974E78_0, 2;
    %load/v 8, v01065F80_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_1.0, 6;
    %jmp/1 T_1.0, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_1.1, 6;
    %jmp/1 T_1.1, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_1.2, 6;
    %jmp/1 T_1.2, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_1.3, 6;
    %jmp/1 T_1.3, 6;
    %jmp T_1.4;
    %jmp T_1.4;
T_1.0 ;
T_1.0 ;
    %load/v 8, v00974E20_0, 8;
    %load/v 8, v01065F28_0, 8;
    %set/v v00974ED0_0, 8, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
    %jmp T_1.4;
T_1.1 ;
T_1.1 ;
    %load/v 8, v00974DC8_0, 8;
    %load/v 8, v01065ED0_0, 8;
    %load/v 16, v00974E20_0, 8;
    %load/v 16, v01065F28_0, 8;
    %and 8, 16, 8;
    %and 8, 16, 8;
    %set/v v00974ED0_0, 8, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
    %jmp T_1.4;
T_1.2 ;
T_1.2 ;
    %load/v 8, v00974DC8_0, 8;
    %load/v 8, v01065ED0_0, 8;
    %load/v 16, v00974E20_0, 8;
    %load/v 16, v01065F28_0, 8;
    %or 8, 16, 8;
    %or 8, 16, 8;
    %set/v v00974ED0_0, 8, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
    %jmp T_1.4;
T_1.3 ;
T_1.3 ;
    %load/v 8, v00974DC8_0, 8;
    %load/v 8, v01065ED0_0, 8;
    %load/v 16, v00974E20_0, 8;
    %load/v 16, v01065F28_0, 8;
    %xor 8, 16, 8;
    %xor 8, 16, 8;
    %set/v v00974ED0_0, 8, 8;
    %set/v v01065FD8_0, 8, 8;
    %jmp T_1.4;
    %jmp T_1.4;
T_1.4 ;
T_1.4 ;
    %jmp T_1;
    %jmp T_1;
    .thread T_1, $push;
    .thread T_1, $push;
    .scope S_007E4510;
    .scope S_00B18FE8;
T_2 ;
T_2 ;
    %wait E_0081C7E0;
    %wait E_00B4EA80;
    %load/v 8, v00974CC0_0, 1; Only need 1 of 2 bits
    %load/v 8, v01065DC8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %cmpi/u 8, 0, 1;
    %cmpi/u 8, 0, 1;
    %jmp/1 T_2.0, 6;
    %jmp/1 T_2.0, 6;
    %cmpi/u 8, 1, 1;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_2.1, 6;
    %jmp/1 T_2.1, 6;
    %jmp T_2.2;
    %jmp T_2.2;
T_2.0 ;
T_2.0 ;
    %load/v 8, v00974A58_0, 8;
    %load/v 8, v01065B60_0, 8;
    %mov 16, 0, 1;
    %mov 16, 0, 1;
    %load/v 17, v00974AB0_0, 8;
    %load/v 17, v01065BB8_0, 8;
    %mov 25, 0, 1;
    %mov 25, 0, 1;
    %add 8, 17, 9;
    %add 8, 17, 9;
    %load/v 17, v00974B08_0, 1;
    %load/v 17, v01065C10_0, 1;
    %mov 18, 0, 8;
    %mov 18, 0, 8;
    %add 8, 17, 9;
    %add 8, 17, 9;
    %set/v v00974D70_0, 8, 8;
    %set/v v01065E78_0, 8, 8;
    %set/v v00974BB8_0, 16, 1;
    %set/v v01065CC0_0, 16, 1;
    %jmp T_2.2;
    %jmp T_2.2;
T_2.1 ;
T_2.1 ;
    %load/v 8, v00974A58_0, 8;
    %load/v 8, v01065B60_0, 8;
    %mov 16, 0, 1;
    %mov 16, 0, 1;
    %load/v 17, v00974AB0_0, 8;
    %load/v 17, v01065BB8_0, 8;
    %mov 25, 0, 1;
    %mov 25, 0, 1;
    %sub 8, 17, 9;
    %sub 8, 17, 9;
    %load/v 17, v00974B08_0, 1;
    %load/v 17, v01065C10_0, 1;
    %mov 18, 0, 8;
    %mov 18, 0, 8;
    %sub 8, 17, 9;
    %sub 8, 17, 9;
    %set/v v00974D70_0, 8, 8;
    %set/v v01065E78_0, 8, 8;
    %set/v v00974BB8_0, 16, 1;
    %set/v v01065CC0_0, 16, 1;
    %jmp T_2.2;
    %jmp T_2.2;
T_2.2 ;
T_2.2 ;
    %jmp T_2;
    %jmp T_2;
    .thread T_2, $push;
    .thread T_2, $push;
    .scope S_007E4510;
    .scope S_00B18FE8;
T_3 ;
T_3 ;
    %wait E_0081C840;
    %wait E_00B4E8C0;
    %load/v 8, v00974CC0_0, 1; Only need 1 of 2 bits
    %load/v 8, v01065DC8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %cmpi/u 8, 0, 1;
    %cmpi/u 8, 0, 1;
    %jmp/1 T_3.0, 6;
    %jmp/1 T_3.0, 6;
    %cmpi/u 8, 1, 1;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_3.1, 6;
    %jmp/1 T_3.1, 6;
    %jmp T_3.2;
    %jmp T_3.2;
T_3.0 ;
T_3.0 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.3, 4;
    %jmp/1 T_3.3, 4;
    %load/x1p 8, v00974A58_0, 1;
    %load/x1p 8, v01065B60_0, 1;
    %jmp T_3.4;
    %jmp T_3.4;
T_3.3 ;
T_3.3 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_3.4 ;
T_3.4 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.5, 4;
    %jmp/1 T_3.5, 4;
    %load/x1p 9, v00974AB0_0, 1;
    %load/x1p 9, v01065BB8_0, 1;
    %jmp T_3.6;
    %jmp T_3.6;
T_3.5 ;
T_3.5 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.6 ;
T_3.6 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.7, 4;
    %jmp/1 T_3.7, 4;
    %load/x1p 9, v00974D70_0, 1;
    %load/x1p 9, v01065E78_0, 1;
    %jmp T_3.8;
    %jmp T_3.8;
T_3.7 ;
T_3.7 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.8 ;
T_3.8 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.9, 4;
    %jmp/1 T_3.9, 4;
    %load/x1p 9, v00974A58_0, 1;
    %load/x1p 9, v01065B60_0, 1;
    %jmp T_3.10;
    %jmp T_3.10;
T_3.9 ;
T_3.9 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.10 ;
T_3.10 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.11, 4;
    %jmp/1 T_3.11, 4;
    %load/x1p 10, v00974AB0_0, 1;
    %load/x1p 10, v01065BB8_0, 1;
    %jmp T_3.12;
    %jmp T_3.12;
T_3.11 ;
T_3.11 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_3.12 ;
T_3.12 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %inv 10, 1;
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.13, 4;
    %jmp/1 T_3.13, 4;
    %load/x1p 10, v00974D70_0, 1;
    %load/x1p 10, v01065E78_0, 1;
    %jmp T_3.14;
    %jmp T_3.14;
T_3.13 ;
T_3.13 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_3.14 ;
T_3.14 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v00974D18_0, 8, 1;
    %set/v v01065E20_0, 8, 1;
    %jmp T_3.2;
    %jmp T_3.2;
T_3.1 ;
T_3.1 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.15, 4;
    %jmp/1 T_3.15, 4;
    %load/x1p 8, v00974A58_0, 1;
    %load/x1p 8, v01065B60_0, 1;
    %jmp T_3.16;
    %jmp T_3.16;
T_3.15 ;
T_3.15 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_3.16 ;
T_3.16 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.17, 4;
    %jmp/1 T_3.17, 4;
    %load/x1p 9, v00974AB0_0, 1;
    %load/x1p 9, v01065BB8_0, 1;
    %jmp T_3.18;
    %jmp T_3.18;
T_3.17 ;
T_3.17 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.18 ;
T_3.18 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.19, 4;
    %jmp/1 T_3.19, 4;
    %load/x1p 9, v00974D70_0, 1;
    %load/x1p 9, v01065E78_0, 1;
    %jmp T_3.20;
    %jmp T_3.20;
T_3.19 ;
T_3.19 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.20 ;
T_3.20 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.21, 4;
    %jmp/1 T_3.21, 4;
    %load/x1p 9, v00974A58_0, 1;
    %load/x1p 9, v01065B60_0, 1;
    %jmp T_3.22;
    %jmp T_3.22;
T_3.21 ;
T_3.21 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.22 ;
T_3.22 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.23, 4;
    %jmp/1 T_3.23, 4;
    %load/x1p 10, v00974AB0_0, 1;
    %load/x1p 10, v01065BB8_0, 1;
    %jmp T_3.24;
    %jmp T_3.24;
T_3.23 ;
T_3.23 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_3.24 ;
T_3.24 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.25, 4;
    %jmp/1 T_3.25, 4;
    %load/x1p 10, v00974D70_0, 1;
    %load/x1p 10, v01065E78_0, 1;
    %jmp T_3.26;
    %jmp T_3.26;
T_3.25 ;
T_3.25 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_3.26 ;
T_3.26 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v00974D18_0, 8, 1;
    %set/v v01065E20_0, 8, 1;
    %jmp T_3.2;
    %jmp T_3.2;
T_3.2 ;
T_3.2 ;
    %jmp T_3;
    %jmp T_3;
    .thread T_3, $push;
    .thread T_3, $push;
    .scope S_007E4510;
    .scope S_00B18FE8;
T_4 ;
T_4 ;
    %wait E_0081C820;
    %wait E_00B4E8A0;
    %load/v 8, v00974CC0_0, 1; Only need 1 of 2 bits
    %load/v 8, v01065DC8_0, 1; Only need 1 of 2 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %cmpi/u 8, 0, 1;
    %cmpi/u 8, 0, 1;
    %jmp/1 T_4.0, 6;
    %jmp/1 T_4.0, 6;
    %cmpi/u 8, 1, 1;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_4.1, 6;
    %jmp/1 T_4.1, 6;
    %jmp T_4.2;
    %jmp T_4.2;
T_4.0 ;
T_4.0 ;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.3, 4;
    %jmp/1 T_4.3, 4;
    %load/x1p 8, v00974A58_0, 1;
    %load/x1p 8, v01065B60_0, 1;
    %jmp T_4.4;
    %jmp T_4.4;
T_4.3 ;
T_4.3 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_4.4 ;
T_4.4 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.5, 4;
    %jmp/1 T_4.5, 4;
    %load/x1p 9, v00974AB0_0, 1;
    %load/x1p 9, v01065BB8_0, 1;
    %jmp T_4.6;
    %jmp T_4.6;
T_4.5 ;
T_4.5 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_4.6 ;
T_4.6 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %xor 8, 9, 1;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.7, 4;
    %jmp/1 T_4.7, 4;
    %load/x1p 9, v00974D70_0, 1;
    %load/x1p 9, v01065E78_0, 1;
    %jmp T_4.8;
    %jmp T_4.8;
T_4.7 ;
T_4.7 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_4.8 ;
T_4.8 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %xor 8, 9, 1;
    %set/v v00974C68_0, 8, 1;
    %set/v v01065D70_0, 8, 1;
    %jmp T_4.2;
    %jmp T_4.2;
T_4.1 ;
T_4.1 ;
    %load/v 8, v00974C10_0, 1;
    %load/v 8, v01065D18_0, 1;
    %set/v v00974C68_0, 8, 1;
    %set/v v01065D70_0, 8, 1;
    %jmp T_4.2;
    %jmp T_4.2;
T_4.2 ;
T_4.2 ;
    %jmp T_4;
    %jmp T_4;
    .thread T_4, $push;
    .thread T_4, $push;
    .scope S_007E4598;
    .scope S_00B19070;
T_5 ;
T_5 ;
    %wait E_0081C860;
    %wait E_00B4E8E0;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.0, 4;
    %jmp/1 T_5.0, 4;
    %load/x1p 16, v009746E8_0, 7;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.1;
    %jmp T_5.1;
T_5.0 ;
T_5.0 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_5.1 ;
T_5.1 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.2, 4;
    %jmp/1 T_5.2, 4;
    %load/x1p 16, v009746E8_0, 1;
    %load/x1p 16, v010657F0_0, 1;
    %jmp T_5.3;
    %jmp T_5.3;
T_5.2 ;
T_5.2 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_5.3 ;
T_5.3 ;
    %mov 15, 16, 1; Move signal select into place
    %mov 15, 16, 1; Move signal select into place
    %set/v v00974950_0, 8, 8;
    %set/v v01065A58_0, 8, 8;
    %load/v 8, v00974848_0, 3;
    %load/v 8, v01065950_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_5.4, 6;
    %jmp/1 T_5.4, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_5.5, 6;
    %jmp/1 T_5.5, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
Line 1235... Line 1238...
    %jmp T_5.9;
    %jmp T_5.9;
T_5.4 ;
T_5.4 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.10, 4;
    %jmp/1 T_5.10, 4;
    %load/x1p 16, v009746E8_0, 7;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.11;
    %jmp T_5.11;
T_5.10 ;
T_5.10 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_5.11 ;
T_5.11 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %mov 15, 0, 1;
    %mov 15, 0, 1;
    %set/v v00974950_0, 8, 8;
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
    %jmp T_5.9;
T_5.5 ;
T_5.5 ;
    %mov 8, 0, 1;
    %mov 8, 0, 1;
    %load/v 9, v009746E8_0, 7; Select 7 out of 8 bits
    %load/v 9, v010657F0_0, 7; Select 7 out of 8 bits
    %set/v v00974950_0, 8, 8;
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
    %jmp T_5.9;
T_5.6 ;
T_5.6 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.12, 4;
    %jmp/1 T_5.12, 4;
    %load/x1p 16, v009746E8_0, 7;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.13;
    %jmp T_5.13;
T_5.12 ;
T_5.12 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_5.13 ;
T_5.13 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %load/v 15, v00974798_0, 1;
    %load/v 15, v010658A0_0, 1;
    %set/v v00974950_0, 8, 8;
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
    %jmp T_5.9;
T_5.7 ;
T_5.7 ;
    %load/v 8, v00974798_0, 1;
    %load/v 8, v010658A0_0, 1;
    %load/v 9, v009746E8_0, 7; Select 7 out of 8 bits
    %load/v 9, v010657F0_0, 7; Select 7 out of 8 bits
    %set/v v00974950_0, 8, 8;
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
    %jmp T_5.9;
T_5.8 ;
T_5.8 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.14, 4;
    %jmp/1 T_5.14, 4;
    %load/x1p 16, v009746E8_0, 7;
    %load/x1p 16, v010657F0_0, 7;
    %jmp T_5.15;
    %jmp T_5.15;
T_5.14 ;
T_5.14 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_5.15 ;
T_5.15 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.16, 4;
    %jmp/1 T_5.16, 4;
    %load/x1p 16, v009746E8_0, 1;
    %load/x1p 16, v010657F0_0, 1;
    %jmp T_5.17;
    %jmp T_5.17;
T_5.16 ;
T_5.16 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_5.17 ;
T_5.17 ;
    %mov 15, 16, 1; Move signal select into place
    %mov 15, 16, 1; Move signal select into place
    %set/v v00974950_0, 8, 8;
    %set/v v01065A58_0, 8, 8;
    %jmp T_5.9;
    %jmp T_5.9;
T_5.9 ;
T_5.9 ;
    %jmp T_5;
    %jmp T_5;
    .thread T_5, $push;
    .thread T_5, $push;
    .scope S_007E4598;
    .scope S_00B19070;
T_6 ;
T_6 ;
    %wait E_0081CA60;
    %wait E_00B4E940;
    %load/v 8, v009748A0_0, 1;
    %load/v 8, v010659A8_0, 1;
    %set/v v009748F8_0, 8, 1;
    %set/v v01065A00_0, 8, 1;
    %load/v 8, v00974848_0, 3;
    %load/v 8, v01065950_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_6.0, 6;
    %jmp/1 T_6.0, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_6.1, 6;
    %jmp/1 T_6.1, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
Line 1309... Line 1312...
    %jmp/1 T_6.3, 6;
    %jmp/1 T_6.3, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_6.4, 6;
    %jmp/1 T_6.4, 6;
    %jmp T_6.5;
    %jmp T_6.5;
T_6.0 ;
T_6.0 ;
    %load/v 8, v009748A0_0, 1;
    %load/v 8, v010659A8_0, 1;
    %set/v v009748F8_0, 8, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
    %jmp T_6.5;
T_6.1 ;
T_6.1 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_6.6, 4;
    %jmp/1 T_6.6, 4;
    %load/x1p 8, v009746E8_0, 1;
    %load/x1p 8, v010657F0_0, 1;
    %jmp T_6.7;
    %jmp T_6.7;
T_6.6 ;
T_6.6 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_6.7 ;
T_6.7 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_6.8, 4;
    %jmp/1 T_6.8, 4;
    %load/x1p 9, v009746E8_0, 1;
    %load/x1p 9, v010657F0_0, 1;
    %jmp T_6.9;
    %jmp T_6.9;
T_6.8 ;
T_6.8 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_6.9 ;
T_6.9 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %xor 8, 9, 1;
    %set/v v009748F8_0, 8, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
    %jmp T_6.5;
T_6.2 ;
T_6.2 ;
    %load/v 8, v009748A0_0, 1;
    %load/v 8, v010659A8_0, 1;
    %set/v v009748F8_0, 8, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
    %jmp T_6.5;
T_6.3 ;
T_6.3 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_6.10, 4;
    %jmp/1 T_6.10, 4;
    %load/x1p 8, v009746E8_0, 1;
    %load/x1p 8, v010657F0_0, 1;
    %jmp T_6.11;
    %jmp T_6.11;
T_6.10 ;
T_6.10 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_6.11 ;
T_6.11 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_6.12, 4;
    %jmp/1 T_6.12, 4;
    %load/x1p 9, v009746E8_0, 1;
    %load/x1p 9, v010657F0_0, 1;
    %jmp T_6.13;
    %jmp T_6.13;
T_6.12 ;
T_6.12 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_6.13 ;
T_6.13 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %xor 8, 9, 1;
    %set/v v009748F8_0, 8, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
    %jmp T_6.5;
T_6.4 ;
T_6.4 ;
    %load/v 8, v009748A0_0, 1;
    %load/v 8, v010659A8_0, 1;
    %set/v v009748F8_0, 8, 1;
    %set/v v01065A00_0, 8, 1;
    %jmp T_6.5;
    %jmp T_6.5;
T_6.5 ;
T_6.5 ;
    %jmp T_6;
    %jmp T_6;
    .thread T_6, $push;
    .thread T_6, $push;
    .scope S_007E4620;
    .scope S_00B190F8;
T_7 ;
T_7 ;
    %wait E_0081CA40;
    %wait E_00B4E920;
    %load/v 8, v009767F0_0, 8;
    %load/v 8, v010678F8_0, 8;
    %set/v v00976638_0, 8, 8;
    %set/v v01067740_0, 8, 8;
    %load/v 8, v00976DC8_0, 5;
    %load/v 8, v01067ED0_0, 5;
    %cmpi/u 8, 24, 5;
    %cmpi/u 8, 24, 5;
    %jmp/1 T_7.0, 6;
    %jmp/1 T_7.0, 6;
    %cmpi/u 8, 25, 5;
    %cmpi/u 8, 25, 5;
    %jmp/1 T_7.1, 6;
    %jmp/1 T_7.1, 6;
    %cmpi/u 8, 29, 5;
    %cmpi/u 8, 29, 5;
    %jmp/1 T_7.2, 6;
    %jmp/1 T_7.2, 6;
    %jmp T_7.3;
    %jmp T_7.3;
T_7.0 ;
T_7.0 ;
    %movi 8, 1, 8;
    %movi 8, 1, 8;
    %set/v v00976638_0, 8, 8;
    %set/v v01067740_0, 8, 8;
    %jmp T_7.3;
    %jmp T_7.3;
T_7.1 ;
T_7.1 ;
    %movi 8, 1, 8;
    %movi 8, 1, 8;
    %set/v v00976638_0, 8, 8;
    %set/v v01067740_0, 8, 8;
    %jmp T_7.3;
    %jmp T_7.3;
T_7.2 ;
T_7.2 ;
    %set/v v00976638_0, 0, 8;
    %set/v v01067740_0, 0, 8;
    %jmp T_7.3;
    %jmp T_7.3;
T_7.3 ;
T_7.3 ;
    %jmp T_7;
    %jmp T_7;
    .thread T_7, $push;
    .thread T_7, $push;
    .scope S_007E4620;
    .scope S_00B190F8;
T_8 ;
T_8 ;
    %wait E_0081CAA0;
    %wait E_00B4E980;
    %set/v v00976E20_0, 0, 8;
    %set/v v01067F28_0, 0, 8;
    %load/v 8, v009768A0_0, 1;
    %load/v 8, v010679A8_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976C10_0, 1;
    %load/v 8, v01067D18_0, 1;
    %set/v v00976BB8_0, 8, 1;
    %set/v v01067CC0_0, 8, 1;
    %load/v 8, v00977030_0, 1;
    %load/v 8, v01068138_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v00976DC8_0, 5;
    %load/v 8, v01067ED0_0, 5;
    %cmpi/u 8, 1, 5;
    %cmpi/u 8, 1, 5;
    %jmp/1 T_8.0, 6;
    %jmp/1 T_8.0, 6;
    %cmpi/u 8, 8, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_8.1, 6;
    %jmp/1 T_8.1, 6;
    %cmpi/u 8, 10, 5;
    %cmpi/u 8, 10, 5;
Line 1452... Line 1455...
    %jmp T_8.21;
    %jmp T_8.21;
T_8.0 ;
T_8.0 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_8.25, 4;
    %jmp/1 T_8.25, 4;
    %load/x1p 8, v009765E0_0, 1;
    %load/x1p 8, v010676E8_0, 1;
    %jmp T_8.26;
    %jmp T_8.26;
T_8.25 ;
T_8.25 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_8.26 ;
T_8.26 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
Line 1469... Line 1472...
    %blend  9, 0, 8; Condition unknown.
    %blend  9, 0, 8; Condition unknown.
    %jmp  T_8.24;
    %jmp  T_8.24;
T_8.23 ;
T_8.23 ;
    %mov 9, 0, 8; Return false value
    %mov 9, 0, 8; Return false value
T_8.24 ;
T_8.24 ;
    %set/v v00976E20_0, 9, 8;
    %set/v v01067F28_0, 9, 8;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.1 ;
T_8.1 ;
    %load/v 8, v00976740_0, 8;
    %load/v 8, v01067848_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976690_0, 1;
    %load/v 8, v01067798_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976798_0, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v009766E8_0, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v00976BB8_0, 8, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.2 ;
T_8.2 ;
    %load/v 8, v00976740_0, 8;
    %load/v 8, v01067848_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976690_0, 1;
    %load/v 8, v01067798_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976798_0, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v009766E8_0, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v00976BB8_0, 8, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.3 ;
T_8.3 ;
    %load/v 8, v00976740_0, 8;
    %load/v 8, v01067848_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976690_0, 1;
    %load/v 8, v01067798_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976798_0, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v009766E8_0, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v00976BB8_0, 8, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.4 ;
T_8.4 ;
    %load/v 8, v00976740_0, 8;
    %load/v 8, v01067848_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976690_0, 1;
    %load/v 8, v01067798_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976798_0, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %load/v 8, v009766E8_0, 1;
    %load/v 8, v010677F0_0, 1;
    %set/v v00976BB8_0, 8, 1;
    %set/v v01067CC0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.5 ;
T_8.5 ;
    %load/v 8, v00976740_0, 8;
    %load/v 8, v01067848_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976798_0, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.6 ;
T_8.6 ;
    %load/v 8, v00976740_0, 8;
    %load/v 8, v01067848_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976798_0, 1;
    %load/v 8, v010678A0_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.7 ;
T_8.7 ;
    %load/v 8, v00976A58_0, 8;
    %load/v 8, v01067B60_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976A58_0, 8;
    %load/v 8, v01067B60_0, 8;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00977088_0, 1;
    %load/v 8, v01068190_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.8 ;
T_8.8 ;
    %load/v 8, v00976D18_0, 8;
    %load/v 8, v01067E20_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976A00_0, 1;
    %load/v 8, v01067B08_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v009770E0_0, 1;
    %load/v 8, v010681E8_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.9 ;
T_8.9 ;
    %load/v 8, v00976F28_0, 8;
    %load/v 8, v01068030_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976ED0_0, 1;
    %load/v 8, v01067FD8_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976F80_0, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.10 ;
T_8.10 ;
    %load/v 8, v00976F28_0, 8;
    %load/v 8, v01068030_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976ED0_0, 1;
    %load/v 8, v01067FD8_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976F80_0, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.11 ;
T_8.11 ;
    %load/v 8, v00976F28_0, 8;
    %load/v 8, v01068030_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976ED0_0, 1;
    %load/v 8, v01067FD8_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976F80_0, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.12 ;
T_8.12 ;
    %load/v 8, v00976F28_0, 8;
    %load/v 8, v01068030_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976ED0_0, 1;
    %load/v 8, v01067FD8_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976F80_0, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.13 ;
T_8.13 ;
    %load/v 8, v00976F28_0, 8;
    %load/v 8, v01068030_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976ED0_0, 1;
    %load/v 8, v01067FD8_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %load/v 8, v00976F80_0, 1;
    %load/v 8, v01068088_0, 1;
    %set/v v00976FD8_0, 8, 1;
    %set/v v010680E0_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.14 ;
T_8.14 ;
    %load/v 8, v00976C68_0, 8;
    %load/v 8, v01067D70_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v00976FD8_0, 0, 1;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.15 ;
T_8.15 ;
    %load/v 8, v00976C68_0, 8;
    %load/v 8, v01067D70_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v00976FD8_0, 0, 1;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.16 ;
T_8.16 ;
    %load/v 8, v00976C68_0, 8;
    %load/v 8, v01067D70_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v00976FD8_0, 0, 1;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.17 ;
T_8.17 ;
    %load/v 8, v00976C68_0, 8;
    %load/v 8, v01067D70_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v00976FD8_0, 0, 1;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.18 ;
T_8.18 ;
    %load/v 8, v009765E0_0, 8;
    %load/v 8, v010676E8_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %set/v v00976FD8_0, 0, 1;
    %set/v v010680E0_0, 0, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.19 ;
T_8.19 ;
    %load/v 8, v00976B60_0, 4; Select 4 out of 8 bits
    %load/v 8, v01067C68_0, 4; Select 4 out of 8 bits
    %load/v 12, v00976B08_0, 4;
    %load/v 12, v01067C10_0, 4;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %load/v 8, v00976950_0, 1;
    %load/v 8, v01067A58_0, 1;
    %set/v v00976848_0, 8, 1;
    %set/v v01067950_0, 8, 1;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.20 ;
T_8.20 ;
    %load/v 8, v009765E0_0, 8;
    %load/v 8, v010676E8_0, 8;
    %set/v v00976E20_0, 8, 8;
    %set/v v01067F28_0, 8, 8;
    %jmp T_8.21;
    %jmp T_8.21;
T_8.21 ;
T_8.21 ;
    %jmp T_8;
    %jmp T_8;
    .thread T_8, $push;
    .thread T_8, $push;
    .scope S_007E4620;
    .scope S_00B190F8;
T_9 ;
T_9 ;
    %wait E_007C4298;
    %wait E_00AF1F78;
    %load/v 8, v00976E20_0, 8;
    %load/v 8, v01067F28_0, 8;
    %set/v v00976E78_0, 8, 8;
    %set/v v01067F80_0, 8, 8;
    %load/v 8, v00976848_0, 1;
    %load/v 8, v01067950_0, 1;
    %load/v 9, v00976FD8_0, 1;
    %load/v 9, v010680E0_0, 1;
    %load/v 16, v00976E20_0, 8;
    %load/v 16, v01067F28_0, 8;
    %cmpi/u 16, 0, 8;
    %cmpi/u 16, 0, 8;
    %mov 16, 4, 1;
    %mov 16, 4, 1;
    %mov 10, 16, 1;
    %mov 10, 16, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_9.0, 4;
    %jmp/1 T_9.0, 4;
    %load/x1p 16, v00976E20_0, 1;
    %load/x1p 16, v01067F28_0, 1;
    %jmp T_9.1;
    %jmp T_9.1;
T_9.0 ;
T_9.0 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_9.1 ;
T_9.1 ;
    %mov 11, 16, 1; Move signal select into place
    %mov 11, 16, 1; Move signal select into place
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_9.2, 4;
    %jmp/1 T_9.2, 4;
    %load/x1p 16, v00974F28_0, 1;
    %load/x1p 16, v01066030_0, 1;
    %jmp T_9.3;
    %jmp T_9.3;
T_9.2 ;
T_9.2 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_9.3 ;
T_9.3 ;
    %mov 12, 16, 1; Move signal select into place
    %mov 12, 16, 1; Move signal select into place
    %load/v 13, v00976BB8_0, 1;
    %load/v 13, v01067CC0_0, 1;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_9.4, 4;
    %jmp/1 T_9.4, 4;
    %load/x1p 16, v00974F28_0, 2;
    %load/x1p 16, v01066030_0, 2;
    %jmp T_9.5;
    %jmp T_9.5;
T_9.4 ;
T_9.4 ;
    %mov 16, 2, 2;
    %mov 16, 2, 2;
T_9.5 ;
T_9.5 ;
    %mov 14, 16, 2; Move signal select into place
    %mov 14, 16, 2; Move signal select into place
    %set/v v00974F80_0, 8, 8;
    %set/v v01066088_0, 8, 8;
    %jmp T_9;
    %jmp T_9;
    .thread T_9, $push;
    .thread T_9, $push;
    .scope S_007E4620;
    .scope S_00B190F8;
T_10 ;
T_10 ;
    %end;
    %end;
    .thread T_10;
    .thread T_10;
    .scope S_007E3E28;
    .scope S_00B19180;
T_11 ;
T_11 ;
    %wait E_007C4098;
    %wait E_00B4ECC0;
    %load/v 8, v00E4D328_0, 2;
    %load/v 8, v01064E20_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_11.0, 6;
    %jmp/1 T_11.0, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_11.1, 6;
    %jmp/1 T_11.1, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_11.2, 6;
    %jmp/1 T_11.2, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_11.3, 6;
    %jmp/1 T_11.3, 6;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.0 ;
T_11.0 ;
    %load/v 8, v00E4D1C8_0, 16;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v00E4D220_0, 16;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %add 8, 25, 17;
    %add 8, 25, 17;
    %set/v v00E4D3D8_0, 8, 16;
    %set/v v01064ED0_0, 8, 16;
    %set/v v00E4D2D0_0, 24, 1;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.1 ;
T_11.1 ;
    %load/v 8, v00E4D1C8_0, 16;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v00E4D220_0, 16;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %sub 8, 25, 17;
    %sub 8, 25, 17;
    %set/v v00E4D3D8_0, 8, 16;
    %set/v v01064ED0_0, 8, 16;
    %set/v v00E4D2D0_0, 24, 1;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.2 ;
T_11.2 ;
    %load/v 8, v00E4D1C8_0, 16;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v00E4D220_0, 16;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %add 8, 25, 17;
    %add 8, 25, 17;
    %load/v 42, v00E4D278_0, 1;
    %load/v 42, v01064D70_0, 1;
    %mov 43, 0, 8;
    %mov 43, 0, 8;
    %mov 25, 42, 9;
    %mov 25, 42, 9;
    %mov 34, 0, 8;
    %mov 34, 0, 8;
    %add 8, 25, 17;
    %add 8, 25, 17;
    %set/v v00E4D3D8_0, 8, 16;
    %set/v v01064ED0_0, 8, 16;
    %set/v v00E4D2D0_0, 24, 1;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.3 ;
T_11.3 ;
    %load/v 8, v00E4D1C8_0, 16;
    %load/v 8, v01064CC0_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v00E4D220_0, 16;
    %load/v 25, v01064D18_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %sub 8, 25, 17;
    %sub 8, 25, 17;
    %load/v 42, v00E4D278_0, 1;
    %load/v 42, v01064D70_0, 1;
    %mov 43, 0, 8;
    %mov 43, 0, 8;
    %mov 25, 42, 9;
    %mov 25, 42, 9;
    %mov 34, 0, 8;
    %mov 34, 0, 8;
    %sub 8, 25, 17;
    %sub 8, 25, 17;
    %set/v v00E4D3D8_0, 8, 16;
    %set/v v01064ED0_0, 8, 16;
    %set/v v00E4D2D0_0, 24, 1;
    %set/v v01064DC8_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.4 ;
T_11.4 ;
    %jmp T_11;
    %jmp T_11;
    .thread T_11, $push;
    .thread T_11, $push;
    .scope S_007E3E28;
    .scope S_00B19180;
T_12 ;
T_12 ;
    %wait E_007C4078;
    %wait E_00B4ED20;
    %load/v 8, v00E4D328_0, 2;
    %load/v 8, v01064E20_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_12.0, 6;
    %jmp/1 T_12.0, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_12.1, 6;
    %jmp/1 T_12.1, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
Line 1743... Line 1746...
    %jmp T_12.4;
    %jmp T_12.4;
T_12.0 ;
T_12.0 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.5, 4;
    %jmp/1 T_12.5, 4;
    %load/x1p 8, v00E4D1C8_0, 1;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.6;
    %jmp T_12.6;
T_12.5 ;
T_12.5 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.6 ;
T_12.6 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.7, 4;
    %jmp/1 T_12.7, 4;
    %load/x1p 9, v00E4D220_0, 1;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.8;
    %jmp T_12.8;
T_12.7 ;
T_12.7 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.8 ;
T_12.8 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.9, 4;
    %jmp/1 T_12.9, 4;
    %load/x1p 9, v00E4D3D8_0, 1;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.10;
    %jmp T_12.10;
T_12.9 ;
T_12.9 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.10 ;
T_12.10 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.11, 4;
    %jmp/1 T_12.11, 4;
    %load/x1p 9, v00E4D1C8_0, 1;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.12;
    %jmp T_12.12;
T_12.11 ;
T_12.11 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.12 ;
T_12.12 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.13, 4;
    %jmp/1 T_12.13, 4;
    %load/x1p 10, v00E4D220_0, 1;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.14;
    %jmp T_12.14;
T_12.13 ;
T_12.13 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.14 ;
T_12.14 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %inv 10, 1;
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.15, 4;
    %jmp/1 T_12.15, 4;
    %load/x1p 10, v00E4D3D8_0, 1;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.16;
    %jmp T_12.16;
T_12.15 ;
T_12.15 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.16 ;
T_12.16 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v00E4D380_0, 8, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.1 ;
T_12.1 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.17, 4;
    %jmp/1 T_12.17, 4;
    %load/x1p 8, v00E4D1C8_0, 1;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.18;
    %jmp T_12.18;
T_12.17 ;
T_12.17 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.18 ;
T_12.18 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.19, 4;
    %jmp/1 T_12.19, 4;
    %load/x1p 9, v00E4D220_0, 1;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.20;
    %jmp T_12.20;
T_12.19 ;
T_12.19 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.20 ;
T_12.20 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.21, 4;
    %jmp/1 T_12.21, 4;
    %load/x1p 9, v00E4D3D8_0, 1;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.22;
    %jmp T_12.22;
T_12.21 ;
T_12.21 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.22 ;
T_12.22 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.23, 4;
    %jmp/1 T_12.23, 4;
    %load/x1p 9, v00E4D1C8_0, 1;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.24;
    %jmp T_12.24;
T_12.23 ;
T_12.23 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.24 ;
T_12.24 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.25, 4;
    %jmp/1 T_12.25, 4;
    %load/x1p 10, v00E4D220_0, 1;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.26;
    %jmp T_12.26;
T_12.25 ;
T_12.25 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.26 ;
T_12.26 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %inv 10, 1;
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.27, 4;
    %jmp/1 T_12.27, 4;
    %load/x1p 10, v00E4D3D8_0, 1;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.28;
    %jmp T_12.28;
T_12.27 ;
T_12.27 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.28 ;
T_12.28 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v00E4D380_0, 8, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.2 ;
T_12.2 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.29, 4;
    %jmp/1 T_12.29, 4;
    %load/x1p 8, v00E4D1C8_0, 1;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.30;
    %jmp T_12.30;
T_12.29 ;
T_12.29 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.30 ;
T_12.30 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.31, 4;
    %jmp/1 T_12.31, 4;
    %load/x1p 9, v00E4D220_0, 1;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.32;
    %jmp T_12.32;
T_12.31 ;
T_12.31 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.32 ;
T_12.32 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.33, 4;
    %jmp/1 T_12.33, 4;
    %load/x1p 9, v00E4D3D8_0, 1;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.34;
    %jmp T_12.34;
T_12.33 ;
T_12.33 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.34 ;
T_12.34 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.35, 4;
    %jmp/1 T_12.35, 4;
    %load/x1p 9, v00E4D1C8_0, 1;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.36;
    %jmp T_12.36;
T_12.35 ;
T_12.35 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.36 ;
T_12.36 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.37, 4;
    %jmp/1 T_12.37, 4;
    %load/x1p 10, v00E4D220_0, 1;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.38;
    %jmp T_12.38;
T_12.37 ;
T_12.37 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.38 ;
T_12.38 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.39, 4;
    %jmp/1 T_12.39, 4;
    %load/x1p 10, v00E4D3D8_0, 1;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.40;
    %jmp T_12.40;
T_12.39 ;
T_12.39 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.40 ;
T_12.40 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v00E4D380_0, 8, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.3 ;
T_12.3 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.41, 4;
    %jmp/1 T_12.41, 4;
    %load/x1p 8, v00E4D1C8_0, 1;
    %load/x1p 8, v01064CC0_0, 1;
    %jmp T_12.42;
    %jmp T_12.42;
T_12.41 ;
T_12.41 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.42 ;
T_12.42 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.43, 4;
    %jmp/1 T_12.43, 4;
    %load/x1p 9, v00E4D220_0, 1;
    %load/x1p 9, v01064D18_0, 1;
    %jmp T_12.44;
    %jmp T_12.44;
T_12.43 ;
T_12.43 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.44 ;
T_12.44 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.45, 4;
    %jmp/1 T_12.45, 4;
    %load/x1p 9, v00E4D3D8_0, 1;
    %load/x1p 9, v01064ED0_0, 1;
    %jmp T_12.46;
    %jmp T_12.46;
T_12.45 ;
T_12.45 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.46 ;
T_12.46 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.47, 4;
    %jmp/1 T_12.47, 4;
    %load/x1p 9, v00E4D1C8_0, 1;
    %load/x1p 9, v01064CC0_0, 1;
    %jmp T_12.48;
    %jmp T_12.48;
T_12.47 ;
T_12.47 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.48 ;
T_12.48 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.49, 4;
    %jmp/1 T_12.49, 4;
    %load/x1p 10, v00E4D220_0, 1;
    %load/x1p 10, v01064D18_0, 1;
    %jmp T_12.50;
    %jmp T_12.50;
T_12.49 ;
T_12.49 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.50 ;
T_12.50 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.51, 4;
    %jmp/1 T_12.51, 4;
    %load/x1p 10, v00E4D3D8_0, 1;
    %load/x1p 10, v01064ED0_0, 1;
    %jmp T_12.52;
    %jmp T_12.52;
T_12.51 ;
T_12.51 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.52 ;
T_12.52 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v00E4D380_0, 8, 1;
    %set/v v01064E78_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.4 ;
T_12.4 ;
    %jmp T_12;
    %jmp T_12;
    .thread T_12, $push;
    .thread T_12, $push;
    .scope S_007E3DA0;
    .scope S_00B18988;
T_13 ;
T_13 ;
    %wait E_007C4898;
    %wait E_00B4ED00;
    %set/v v00E4D8A8_0, 0, 16;
    %set/v v010653A0_0, 0, 16;
    %load/v 8, v00E4D6F0_0, 1;
    %load/v 8, v010651E8_0, 1;
    %set/v v00E4D698_0, 8, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v00974480_0, 1;
    %load/v 8, v01065638_0, 1;
    %set/v v009744D8_0, 8, 1;
    %set/v v01065588_0, 8, 1;
    %load/v 8, v00E4D850_0, 5;
    %load/v 8, v01065348_0, 5;
    %cmpi/u 8, 8, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_13.0, 6;
    %jmp/1 T_13.0, 6;
    %cmpi/u 8, 10, 5;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_13.1, 6;
    %jmp/1 T_13.1, 6;
    %cmpi/u 8, 9, 5;
    %cmpi/u 8, 9, 5;
Line 2031... Line 2034...
    %jmp/1 T_13.7, 6;
    %jmp/1 T_13.7, 6;
    %cmpi/u 8, 28, 5;
    %cmpi/u 8, 28, 5;
    %jmp/1 T_13.8, 6;
    %jmp/1 T_13.8, 6;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.0 ;
T_13.0 ;
    %load/v 8, v00E4D590_0, 16;
    %load/v 8, v01065088_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v00E4D538_0, 1;
    %load/v 8, v01065030_0, 1;
    %set/v v00E4D698_0, 8, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v00E4D5E8_0, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v009744D8_0, 8, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.1 ;
T_13.1 ;
    %load/v 8, v00E4D590_0, 16;
    %load/v 8, v01065088_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v00E4D538_0, 1;
    %load/v 8, v01065030_0, 1;
    %set/v v00E4D698_0, 8, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v00E4D5E8_0, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v009744D8_0, 8, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.2 ;
T_13.2 ;
    %load/v 8, v00E4D590_0, 16;
    %load/v 8, v01065088_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v00E4D538_0, 1;
    %load/v 8, v01065030_0, 1;
    %set/v v00E4D698_0, 8, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v00E4D5E8_0, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v009744D8_0, 8, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.3 ;
T_13.3 ;
    %load/v 8, v00E4D590_0, 16;
    %load/v 8, v01065088_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %load/v 8, v00E4D538_0, 1;
    %load/v 8, v01065030_0, 1;
    %set/v v00E4D698_0, 8, 1;
    %set/v v01065190_0, 8, 1;
    %load/v 8, v00E4D5E8_0, 1;
    %load/v 8, v010650E0_0, 1;
    %set/v v009744D8_0, 8, 1;
    %set/v v01065588_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.4 ;
T_13.4 ;
    %load/v 8, v009742C8_0, 16;
    %load/v 8, v010653F8_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_13.10, 4;
    %jmp/1 T_13.10, 4;
    %load/x1p 8, v009742C8_0, 1;
    %load/x1p 8, v010653F8_0, 1;
    %jmp T_13.11;
    %jmp T_13.11;
T_13.10 ;
T_13.10 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_13.11 ;
T_13.11 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v00E4D698_0, 8, 1;
    %set/v v01065190_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.5 ;
T_13.5 ;
    %set/v v009744D8_0, 0, 1;
    %set/v v01065588_0, 0, 1;
    %load/v 8, v00E4D640_0, 16;
    %load/v 8, v01065138_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.6 ;
T_13.6 ;
    %load/v 8, v00E4D4E0_0, 16;
    %load/v 8, v01064FD8_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.7 ;
T_13.7 ;
    %load/v 8, v00E4D640_0, 8; Select 8 out of 16 bits
    %load/v 8, v01065138_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_13.15, 4;
    %jmp/1 T_13.15, 4;
    %load/x1p 24, v00E4D640_0, 1;
    %load/x1p 24, v01065138_0, 1;
    %jmp T_13.16;
    %jmp T_13.16;
T_13.15 ;
T_13.15 ;
    %mov 24, 2, 1;
    %mov 24, 2, 1;
T_13.16 ;
T_13.16 ;
; Save base=24 wid=1 in lookaside.
; Save base=24 wid=1 in lookaside.
Line 2108... Line 2111...
    %jmp  T_13.14;
    %jmp  T_13.14;
T_13.13 ;
T_13.13 ;
    %mov 25, 0, 8; Return false value
    %mov 25, 0, 8; Return false value
T_13.14 ;
T_13.14 ;
    %mov 16, 25, 8;
    %mov 16, 25, 8;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.8 ;
T_13.8 ;
    %load/v 8, v00E4D4E0_0, 16;
    %load/v 8, v01064FD8_0, 16;
    %set/v v00E4D8A8_0, 8, 16;
    %set/v v010653A0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.9 ;
T_13.9 ;
    %jmp T_13;
    %jmp T_13;
    .thread T_13, $push;
    .thread T_13, $push;
    .scope S_007E3DA0;
    .scope S_00B18988;
T_14 ;
T_14 ;
    %wait E_007C0D18;
    %wait E_00AEEA58;
    %load/v 8, v00E4D8A8_0, 16;
    %load/v 8, v010652F0_0, 1;
    %ix/load 0, 16, 0;
 
    %assign/v0 v00974428_0, 0, 8;
 
    %load/v 8, v00E4D7F8_0, 1;
 
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00974378_0, 0, 8;
    %assign/v0 v010654A8_0, 0, 8;
    %load/v 8, v00974588_0, 1;
    %load/v 8, v01065690_0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009743D0_0, 0, 8;
    %assign/v0 v01065530_0, 0, 8;
    %jmp T_14;
    %jmp T_14;
    .thread T_14;
    .thread T_14;
    .scope S_007E3DA0;
    .scope S_00B18988;
T_15 ;
T_15 ;
    %wait E_007C4878;
    %wait E_00B4EAE0;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_15.0, 4;
    %jmp/1 T_15.0, 4;
    %load/x1p 8, v00E4D8A8_0, 1;
    %load/x1p 8, v010653A0_0, 1;
    %jmp T_15.1;
    %jmp T_15.1;
T_15.0 ;
T_15.0 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_15.1 ;
T_15.1 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v00E4D7A0_0, 8, 1;
    %set/v v01065298_0, 8, 1;
    %load/v 8, v00E4D8A8_0, 16;
    %load/v 8, v010653A0_0, 16;
    %cmpi/u 8, 0, 16;
    %cmpi/u 8, 0, 16;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %set/v v00974530_0, 8, 1;
    %set/v v010655E0_0, 8, 1;
    %load/v 8, v00E4D850_0, 5;
    %load/v 8, v01065348_0, 5;
    %cmpi/u 8, 8, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_15.2, 6;
    %jmp/1 T_15.2, 6;
    %cmpi/u 8, 10, 5;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_15.3, 6;
    %jmp/1 T_15.3, 6;
    %cmpi/u 8, 9, 5;
    %cmpi/u 8, 9, 5;
Line 2217... Line 2217...
T_15.14 ;
T_15.14 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.15 ;
T_15.15 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.16 ;
T_15.16 ;
    %load/v 8, v00974378_0, 1;
    %load/v 8, v010654A8_0, 1;
    %set/v v00E4D7A0_0, 8, 1;
    %set/v v01065298_0, 8, 1;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.17 ;
T_15.17 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.18 ;
T_15.18 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.19 ;
T_15.19 ;
    %load/v 8, v00974378_0, 1;
    %load/v 8, v010654A8_0, 1;
    %set/v v00E4D7A0_0, 8, 1;
    %set/v v01065298_0, 8, 1;
    %load/v 8, v009743D0_0, 1;
    %load/v 8, v01065530_0, 1;
    %set/v v00974530_0, 8, 1;
    %set/v v010655E0_0, 8, 1;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.20 ;
T_15.20 ;
    %load/v 8, v00974378_0, 1;
    %load/v 8, v010654A8_0, 1;
    %set/v v00E4D7A0_0, 8, 1;
    %set/v v01065298_0, 8, 1;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.21 ;
T_15.21 ;
    %jmp T_15;
    %jmp T_15;
    .thread T_15, $push;
    .thread T_15, $push;
    .scope S_007E3DA0;
    .scope S_00B18988;
T_16 ;
T_16 ;
    %wait E_007C4918;
    %wait E_00AF2038;
    %load/v 8, v00E4D8A8_0, 16;
    %load/v 8, v010653A0_0, 16;
    %set/v v00974320_0, 8, 16;
    %set/v v01065450_0, 8, 16;
    %load/v 8, v00E4D698_0, 1;
    %load/v 8, v01065190_0, 1;
    %load/v 9, v009744D8_0, 1;
    %load/v 9, v01065588_0, 1;
    %load/v 10, v00974530_0, 1;
    %load/v 10, v010655E0_0, 1;
    %load/v 11, v00E4D7A0_0, 1;
    %load/v 11, v01065298_0, 1;
    %set/v v00E4D488_0, 8, 4;
    %set/v v01064F80_0, 8, 4;
    %jmp T_16;
    %jmp T_16;
    .thread T_16, $push;
    .thread T_16, $push;
    .scope S_007E2C18;
    .scope S_00B18900;
T_17 ;
T_17 ;
    %wait E_007C0D18;
    %wait E_00AEEA58;
    %load/v 8, v00977480_0, 16;
    %load/v 8, v01068588_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v009777F0_0, 0, 8;
    %assign/v0 v010688F8_0, 0, 8;
    %load/v 8, v009774D8_0, 16;
    %load/v 8, v010685E0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00977848_0, 0, 8;
    %assign/v0 v01068950_0, 0, 8;
    %load/v 8, v00977638_0, 5;
    %load/v 8, v01068740_0, 5;
    %ix/load 0, 5, 0;
    %ix/load 0, 5, 0;
    %assign/v0 v009778A0_0, 0, 8;
    %assign/v0 v010689A8_0, 0, 8;
    %jmp T_17;
    %jmp T_17;
    .thread T_17;
    .thread T_17;
    .scope S_007E2C18;
    .scope S_00B18900;
T_18 ;
T_18 ;
    %wait E_007C4978;
    %wait E_00AF2098;
    %load/v 8, v009778F8_0, 1;
    %load/v 8, v01068A00_0, 1;
    %jmp/0xz  T_18.0, 8;
    %jmp/0xz  T_18.0, 8;
    %load/v 8, v009776E8_0, 16;
    %load/v 8, v010687F0_0, 16;
    %set/v v00977798_0, 8, 16;
    %set/v v010688A0_0, 8, 16;
    %load/v 8, v00977530_0, 4;
    %load/v 8, v01068638_0, 4;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_18.2, 4;
    %jmp/1 T_18.2, 4;
    %load/x1p 16, v009773D0_0, 4;
    %load/x1p 16, v010684A8_0, 4;
    %jmp T_18.3;
    %jmp T_18.3;
T_18.2 ;
T_18.2 ;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
T_18.3 ;
T_18.3 ;
    %mov 12, 16, 4; Move signal select into place
    %mov 12, 16, 4; Move signal select into place
    %set/v v00977428_0, 8, 8;
    %set/v v01068530_0, 8, 8;
    %jmp T_18.1;
    %jmp T_18.1;
T_18.0 ;
T_18.0 ;
    %load/v 8, v00977740_0, 8;
    %load/v 8, v01068848_0, 8;
    %mov 16, 0, 8;
    %mov 16, 0, 8;
    %set/v v00977798_0, 8, 16;
    %set/v v010688A0_0, 8, 16;
    %load/v 8, v00977588_0, 8;
    %load/v 8, v01068690_0, 8;
    %set/v v00977428_0, 8, 8;
    %set/v v01068530_0, 8, 8;
T_18.1 ;
T_18.1 ;
    %jmp T_18;
    %jmp T_18;
    .thread T_18, $push;
    .thread T_18, $push;
    .scope S_007E3F38;
    .scope S_00B18A98;
T_19 ;
T_19 ;
    %wait E_007C2B38;
    %wait E_00AF0878;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_19.0, 4;
    %jmp/1 T_19.0, 4;
    %load/x1p 8, v00E4BC48_0, 2;
    %load/x1p 8, v01063740_0, 2;
    %jmp T_19.1;
    %jmp T_19.1;
T_19.0 ;
T_19.0 ;
    %mov 8, 2, 2;
    %mov 8, 2, 2;
T_19.1 ;
T_19.1 ;
; Save base=8 wid=2 in lookaside.
; Save base=8 wid=2 in lookaside.
Line 2313... Line 2313...
    %jmp/1 T_19.4, 6;
    %jmp/1 T_19.4, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_19.5, 6;
    %jmp/1 T_19.5, 6;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.2 ;
T_19.2 ;
    %load/v 8, v00E4BCA0_0, 16;
    %load/v 8, v01063798_0, 16;
    %set/v v00E4BA90_0, 8, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.3 ;
T_19.3 ;
    %load/v 8, v00E4BCF8_0, 16;
    %load/v 8, v010637F0_0, 16;
    %set/v v00E4BA90_0, 8, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.4 ;
T_19.4 ;
    %load/v 8, v00E4BE58_0, 16;
    %load/v 8, v01063950_0, 16;
    %set/v v00E4BA90_0, 8, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.5 ;
T_19.5 ;
    %load/v 8, v00E4BE00_0, 16;
    %load/v 8, v010638F8_0, 16;
    %set/v v00E4BA90_0, 8, 16;
    %set/v v01063588_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.6 ;
T_19.6 ;
    %jmp T_19;
    %jmp T_19;
    .thread T_19, $push;
    .thread T_19, $push;
    .scope S_007E3F38;
    .scope S_00B18A98;
T_20 ;
T_20 ;
    %wait E_007C34F8;
    %wait E_00AF1238;
    %load/v 8, v00E4BC48_0, 2; Only need 2 of 8 bits
    %load/v 8, v01063740_0, 2; Only need 2 of 8 bits
; Save base=8 wid=2 in lookaside.
; Save base=8 wid=2 in lookaside.
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_20.0, 6;
    %jmp/1 T_20.0, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_20.1, 6;
    %jmp/1 T_20.1, 6;
Line 2347... Line 2347...
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_20.3, 6;
    %jmp/1 T_20.3, 6;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.0 ;
T_20.0 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00E4BA90_0, 16;
    %load/vp0 8, v01063588_0, 16;
    %set/v v00E4BAE8_0, 8, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.1 ;
T_20.1 ;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %load/vp0 8, v00E4BA90_0, 16;
    %load/vp0 8, v01063588_0, 16;
    %set/v v00E4BAE8_0, 8, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.2 ;
T_20.2 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %set/v v00E4BAE8_0, 8, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.3 ;
T_20.3 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %subi 8, 2, 16;
    %subi 8, 2, 16;
    %set/v v00E4BAE8_0, 8, 16;
    %set/v v010635E0_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.4 ;
T_20.4 ;
    %jmp T_20;
    %jmp T_20;
    .thread T_20, $push;
    .thread T_20, $push;
    .scope S_007E3F38;
    .scope S_00B18A98;
T_21 ;
T_21 ;
    %wait E_007C34D8;
    %wait E_00AF1218;
    %movi 8, 65261, 16;
    %movi 8, 65261, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %load/v 8, v00E4BC48_0, 8;
    %load/v 8, v01063740_0, 8;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 0, 1;
    %movi 20, 0, 1;
    %mov 21, 2, 2;
    %mov 21, 2, 2;
    %movi 23, 0, 1;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 2448... Line 2448...
    %movi 127, 1, 1;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %cmp/x 8, 120, 8;
    %jmp/1 T_21.13, 4;
    %jmp/1 T_21.13, 4;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.0 ;
T_21.0 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v00E4BC48_0, 4; Select 4 out of 8 bits
    %load/v 24, v01063740_0, 4; Select 4 out of 8 bits
    %mov 28, 0, 12;
    %mov 28, 0, 12;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.1 ;
T_21.1 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v00E4BC48_0, 4; Select 4 out of 8 bits
    %load/v 24, v01063740_0, 4; Select 4 out of 8 bits
    %mov 28, 1, 12;
    %mov 28, 1, 12;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.2 ;
T_21.2 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.3 ;
T_21.3 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.4 ;
T_21.4 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.5 ;
T_21.5 ;
    %load/v 8, v00E4BAE8_0, 16;
    %load/v 8, v010635E0_0, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.6 ;
T_21.6 ;
    %load/v 8, v00E4BAE8_0, 16;
    %load/v 8, v010635E0_0, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.7 ;
T_21.7 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v00E4BA38_0, 8;
    %load/v 24, v01063530_0, 8;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.15, 4;
    %jmp/1 T_21.15, 4;
    %load/x1p 48, v00E4BA38_0, 1;
    %load/x1p 48, v01063530_0, 1;
    %jmp T_21.16;
    %jmp T_21.16;
T_21.15 ;
T_21.15 ;
    %mov 48, 2, 1;
    %mov 48, 2, 1;
T_21.16 ;
T_21.16 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 40, 48, 1; Move signal select into place
Line 2502... Line 2502...
    %mov 43, 40, 1; Repetition 4
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.8 ;
T_21.8 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v00E4B9E0_0, 8;
    %load/v 24, v010634A8_0, 8;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.17, 4;
    %jmp/1 T_21.17, 4;
    %load/x1p 48, v00E4B9E0_0, 1;
    %load/x1p 48, v010634A8_0, 1;
    %jmp T_21.18;
    %jmp T_21.18;
T_21.17 ;
T_21.17 ;
    %mov 48, 2, 1;
    %mov 48, 2, 1;
T_21.18 ;
T_21.18 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 40, 48, 1; Move signal select into place
Line 2525... Line 2525...
    %mov 43, 40, 1; Repetition 4
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.9 ;
T_21.9 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v00E4BA38_0, 8;
    %load/v 24, v01063530_0, 8;
    %load/v 32, v00E4B9E0_0, 8;
    %load/v 32, v010634A8_0, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.10 ;
T_21.10 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v00E4BD50_0, 8; Select 8 out of 16 bits
    %load/v 24, v01063848_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.22, 4;
    %jmp/1 T_21.22, 4;
    %load/x1p 40, v00E4BD50_0, 1;
    %load/x1p 40, v01063848_0, 1;
    %jmp T_21.23;
    %jmp T_21.23;
T_21.22 ;
T_21.22 ;
    %mov 40, 2, 1;
    %mov 40, 2, 1;
T_21.23 ;
T_21.23 ;
; Save base=40 wid=1 in lookaside.
; Save base=40 wid=1 in lookaside.
Line 2559... Line 2559...
T_21.20 ;
T_21.20 ;
    %mov 41, 0, 8; Return false value
    %mov 41, 0, 8; Return false value
T_21.21 ;
T_21.21 ;
    %mov 32, 41, 8;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.11 ;
T_21.11 ;
    %load/v 8, v00E4BA90_0, 16;
    %load/v 8, v01063588_0, 16;
    %load/v 24, v00E4BD50_0, 16;
    %load/v 24, v01063848_0, 16;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.12 ;
T_21.12 ;
    %load/v 8, v00E4BDA8_0, 16;
    %load/v 8, v010638A0_0, 16;
    %load/v 24, v00E4BD50_0, 8; Select 8 out of 16 bits
    %load/v 24, v01063848_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.27, 4;
    %jmp/1 T_21.27, 4;
    %load/x1p 40, v00E4BD50_0, 1;
    %load/x1p 40, v01063848_0, 1;
    %jmp T_21.28;
    %jmp T_21.28;
T_21.27 ;
T_21.27 ;
    %mov 40, 2, 1;
    %mov 40, 2, 1;
T_21.28 ;
T_21.28 ;
; Save base=40 wid=1 in lookaside.
; Save base=40 wid=1 in lookaside.
Line 2592... Line 2592...
T_21.25 ;
T_21.25 ;
    %mov 41, 0, 8; Return false value
    %mov 41, 0, 8; Return false value
T_21.26 ;
T_21.26 ;
    %mov 32, 41, 8;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.13 ;
T_21.13 ;
    %load/v 8, v00E4BDA8_0, 16;
    %load/v 8, v010638A0_0, 16;
    %load/v 24, v00E4BD50_0, 16;
    %load/v 24, v01063848_0, 16;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v00E4BB98_0, 8, 16;
    %set/v v01063690_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.14 ;
T_21.14 ;
    %jmp T_21;
    %jmp T_21;
    .thread T_21, $push;
    .thread T_21, $push;
    .scope S_007E3FC0;
    .scope S_00B18B20;
T_22 ;
T_22 ;
    %wait E_007C1318;
    %wait E_00AEF058;
    %load/v 8, v00E4CA90_0, 4;
    %load/v 8, v01064588_0, 4;
    %cmpi/u 8, 8, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_22.0, 6;
    %jmp/1 T_22.0, 6;
    %cmpi/u 8, 9, 4;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_22.1, 6;
    %jmp/1 T_22.1, 6;
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
Line 2627... Line 2627...
    %jmp/1 T_22.7, 6;
    %jmp/1 T_22.7, 6;
    %cmpi/u 8, 11, 4;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_22.8, 6;
    %jmp/1 T_22.8, 6;
    %cmpi/u 8, 10, 4;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_22.9, 6;
    %jmp/1 T_22.9, 6;
    %set/v v00E4CAE8_0, 1, 16;
    %set/v v010645E0_0, 1, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.0 ;
T_22.0 ;
    %load/v 8, v00E4BEB0_0, 8;
    %load/v 8, v010639A8_0, 8;
    %mov 16, 1, 8;
    %mov 16, 1, 8;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.1 ;
T_22.1 ;
    %load/v 8, v00E4BF08_0, 8;
    %load/v 8, v01063A00_0, 8;
    %mov 16, 0, 8;
    %mov 16, 0, 8;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.2 ;
T_22.2 ;
    %load/v 8, v00E4BF08_0, 8;
    %load/v 8, v01063A00_0, 8;
    %load/v 16, v00E4BEB0_0, 8;
    %load/v 16, v010639A8_0, 8;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.3 ;
T_22.3 ;
    %load/v 8, v00E4C068_0, 16;
    %load/v 8, v01063B60_0, 16;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.4 ;
T_22.4 ;
    %load/v 8, v00E4C0C0_0, 16;
    %load/v 8, v01063BB8_0, 16;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.5 ;
T_22.5 ;
    %load/v 8, v00E4C1C8_0, 16;
    %load/v 8, v01063CC0_0, 16;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.6 ;
T_22.6 ;
    %load/v 8, v00E4C170_0, 16;
    %load/v 8, v01063C68_0, 16;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.7 ;
T_22.7 ;
    %load/v 8, v00E4C118_0, 16;
    %load/v 8, v01063C10_0, 16;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.8 ;
T_22.8 ;
    %load/v 8, v00E4C010_0, 8;
    %load/v 8, v01063B08_0, 8;
    %load/v 16, v00E4C010_0, 8;
    %load/v 16, v01063B08_0, 8;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.9 ;
T_22.9 ;
    %load/v 24, v00E4C380_0, 1;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v00E4CEB0_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v00E4D170_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v00E4C9E0_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v00E4C8A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v00E4C7A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v00E4C748_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v00E4C698_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 8, 24, 8;
    %mov 8, 24, 8;
    %load/v 24, v00E4C380_0, 1;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v00E4CEB0_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v00E4D170_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v00E4C9E0_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v00E4C8A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v00E4C7A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v00E4C748_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v00E4C698_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 16, 24, 8;
    %mov 16, 24, 8;
    %set/v v00E4CAE8_0, 8, 16;
    %set/v v010645E0_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.11 ;
T_22.11 ;
    %jmp T_22;
    %jmp T_22;
    .thread T_22, $push;
    .thread T_22, $push;
    .scope S_007E3FC0;
    .scope S_00B18B20;
T_23 ;
T_23 ;
    %wait E_007C12F8;
    %wait E_00AEF038;
    %load/v 8, v00E4CB40_0, 4;
    %load/v 8, v01064638_0, 4;
    %cmpi/u 8, 8, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_23.0, 6;
    %jmp/1 T_23.0, 6;
    %cmpi/u 8, 9, 4;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_23.1, 6;
    %jmp/1 T_23.1, 6;
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
Line 2717... Line 2717...
    %jmp/1 T_23.7, 6;
    %jmp/1 T_23.7, 6;
    %cmpi/u 8, 11, 4;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_23.8, 6;
    %jmp/1 T_23.8, 6;
    %cmpi/u 8, 10, 4;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_23.9, 6;
    %jmp/1 T_23.9, 6;
    %set/v v00E4CB98_0, 1, 16;
    %set/v v01064690_0, 1, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.0 ;
T_23.0 ;
    %load/v 8, v00E4BEB0_0, 8;
    %load/v 8, v010639A8_0, 8;
    %mov 16, 1, 8;
    %mov 16, 1, 8;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.1 ;
T_23.1 ;
    %load/v 8, v00E4BF08_0, 8;
    %load/v 8, v01063A00_0, 8;
    %mov 16, 0, 8;
    %mov 16, 0, 8;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.2 ;
T_23.2 ;
    %load/v 8, v00E4BF08_0, 8;
    %load/v 8, v01063A00_0, 8;
    %load/v 16, v00E4BEB0_0, 8;
    %load/v 16, v010639A8_0, 8;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.3 ;
T_23.3 ;
    %load/v 8, v00E4C068_0, 16;
    %load/v 8, v01063B60_0, 16;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.4 ;
T_23.4 ;
    %load/v 8, v00E4C0C0_0, 16;
    %load/v 8, v01063BB8_0, 16;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.5 ;
T_23.5 ;
    %load/v 8, v00E4C1C8_0, 16;
    %load/v 8, v01063CC0_0, 16;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.6 ;
T_23.6 ;
    %load/v 8, v00E4C170_0, 16;
    %load/v 8, v01063C68_0, 16;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.7 ;
T_23.7 ;
    %load/v 8, v00E4C118_0, 16;
    %load/v 8, v01063C10_0, 16;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.8 ;
T_23.8 ;
    %load/v 8, v00E4C010_0, 8;
    %load/v 8, v01063B08_0, 8;
    %load/v 16, v00E4C010_0, 8;
    %load/v 16, v01063B08_0, 8;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.9 ;
T_23.9 ;
    %load/v 24, v00E4C380_0, 1;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v00E4CEB0_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v00E4D170_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v00E4C9E0_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v00E4C8A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v00E4C7A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v00E4C748_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v00E4C698_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 8, 24, 8;
    %mov 8, 24, 8;
    %load/v 24, v00E4C380_0, 1;
    %load/v 24, v01063E78_0, 1;
    %load/v 25, v00E4CEB0_0, 1;
    %load/v 25, v010649A8_0, 1;
    %load/v 26, v00E4D170_0, 1;
    %load/v 26, v01064C68_0, 1;
    %load/v 27, v00E4C9E0_0, 1;
    %load/v 27, v010644A8_0, 1;
    %load/v 28, v00E4C8A8_0, 1;
    %load/v 28, v010643A0_0, 1;
    %load/v 29, v00E4C7A0_0, 1;
    %load/v 29, v01064298_0, 1;
    %load/v 30, v00E4C748_0, 1;
    %load/v 30, v01064240_0, 1;
    %load/v 31, v00E4C698_0, 1;
    %load/v 31, v01064190_0, 1;
    %mov 16, 24, 8;
    %mov 16, 24, 8;
    %set/v v00E4CB98_0, 8, 16;
    %set/v v01064690_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.11 ;
T_23.11 ;
    %jmp T_23;
    %jmp T_23;
    .thread T_23, $push;
    .thread T_23, $push;
    .scope S_007E3FC0;
    .scope S_00B18B20;
T_24 ;
T_24 ;
    %wait E_007C0D18;
    %wait E_00AEEA58;
    %load/v 8, v00E4CF08_0, 1;
    %load/v 8, v01064A00_0, 1;
    %load/v 9, v00E4C7F8_0, 1;
    %load/v 9, v010642F0_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.0, 8;
    %jmp/0xz  T_24.0, 8;
    %load/v 8, v00E4CDA8_0, 4;
    %load/v 8, v010648A0_0, 4;
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_24.2, 6;
    %jmp/1 T_24.2, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_24.3, 6;
    %jmp/1 T_24.3, 6;
    %cmpi/u 8, 2, 4;
    %cmpi/u 8, 2, 4;
Line 2813... Line 2813...
    %jmp/1 T_24.10, 6;
    %jmp/1 T_24.10, 6;
    %cmpi/u 8, 11, 4;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_24.11, 6;
    %jmp/1 T_24.11, 6;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.2 ;
T_24.2 ;
    %load/v 8, v00E4CD50_0, 16;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BF08_0, 0, 8;
    %assign/v0 v01063A00_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BEB0_0, 0, 16;
    %assign/v0 v010639A8_0, 0, 16;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.3 ;
T_24.3 ;
    %load/v 8, v00E4CD50_0, 16;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C068_0, 0, 8;
    %assign/v0 v01063B60_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.4 ;
T_24.4 ;
    %load/v 8, v00E4CD50_0, 16;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C0C0_0, 0, 8;
    %assign/v0 v01063BB8_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.5 ;
T_24.5 ;
    %load/v 8, v00E4CD50_0, 16;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C1C8_0, 0, 8;
    %assign/v0 v01063CC0_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.6 ;
T_24.6 ;
    %load/v 8, v00E4CD50_0, 16;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C170_0, 0, 8;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.7 ;
T_24.7 ;
    %load/v 8, v00E4CD50_0, 16;
    %load/v 8, v01064848_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C118_0, 0, 8;
    %assign/v0 v01063C10_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.8 ;
T_24.8 ;
    %load/v 8, v00E4CD50_0, 8; Only need 8 of 16 bits
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BEB0_0, 0, 8;
    %assign/v0 v010639A8_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.9 ;
T_24.9 ;
    %load/v 8, v00E4CD50_0, 8; Only need 8 of 16 bits
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BF08_0, 0, 8;
    %assign/v0 v01063A00_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.10 ;
T_24.10 ;
    %load/v 8, v00E4CD50_0, 8; Only need 8 of 16 bits
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C380_0, 0, 8;
    %assign/v0 v01063E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4CEB0_0, 0, 9;
    %assign/v0 v010649A8_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4D170_0, 0, 10;
    %assign/v0 v01064C68_0, 0, 10;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C9E0_0, 0, 11;
    %assign/v0 v010644A8_0, 0, 11;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C8A8_0, 0, 12;
    %assign/v0 v010643A0_0, 0, 12;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C7A0_0, 0, 13;
    %assign/v0 v01064298_0, 0, 13;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C748_0, 0, 14;
    %assign/v0 v01064240_0, 0, 14;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C698_0, 0, 15;
    %assign/v0 v01064190_0, 0, 15;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.11 ;
T_24.11 ;
    %load/v 8, v00E4CD50_0, 8; Only need 8 of 16 bits
    %load/v 8, v01064848_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4C010_0, 0, 8;
    %assign/v0 v01063B08_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.12 ;
T_24.12 ;
T_24.0 ;
T_24.0 ;
    %load/v 8, v00E4D118_0, 1;
    %load/v 8, v01064C10_0, 1;
    %load/v 9, v00E4CF08_0, 1;
    %load/v 9, v01064A00_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v00E4D068_0, 1;
    %load/v 9, v01064B60_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.13, 8;
    %jmp/0xz  T_24.13, 8;
    %load/v 8, v00E4D0C0_0, 4;
    %load/v 8, v01064BB8_0, 4;
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_24.15, 6;
    %jmp/1 T_24.15, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_24.16, 6;
    %jmp/1 T_24.16, 6;
    %cmpi/u 8, 2, 4;
    %cmpi/u 8, 2, 4;
Line 2913... Line 2913...
    %jmp/1 T_24.23, 6;
    %jmp/1 T_24.23, 6;
    %cmpi/u 8, 11, 4;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_24.24, 6;
    %jmp/1 T_24.24, 6;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.15 ;
T_24.15 ;
    %load/v 8, v00E4C930_0, 16;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BF08_0, 0, 8;
    %assign/v0 v01063A00_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BEB0_0, 0, 16;
    %assign/v0 v010639A8_0, 0, 16;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.16 ;
T_24.16 ;
    %load/v 8, v00E4C930_0, 16;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C068_0, 0, 8;
    %assign/v0 v01063B60_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.17 ;
T_24.17 ;
    %load/v 8, v00E4C930_0, 16;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C0C0_0, 0, 8;
    %assign/v0 v01063BB8_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.18 ;
T_24.18 ;
    %load/v 8, v00E4C930_0, 16;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C1C8_0, 0, 8;
    %assign/v0 v01063CC0_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.19 ;
T_24.19 ;
    %load/v 8, v00E4C930_0, 16;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C170_0, 0, 8;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.20 ;
T_24.20 ;
    %load/v 8, v00E4C930_0, 16;
    %load/v 8, v010643F8_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C118_0, 0, 8;
    %assign/v0 v01063C10_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.21 ;
T_24.21 ;
    %load/v 8, v00E4C930_0, 8; Only need 8 of 16 bits
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BEB0_0, 0, 8;
    %assign/v0 v010639A8_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.22 ;
T_24.22 ;
    %load/v 8, v00E4C930_0, 8; Only need 8 of 16 bits
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4BF08_0, 0, 8;
    %assign/v0 v01063A00_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.23 ;
T_24.23 ;
    %load/v 8, v00E4C930_0, 8; Only need 8 of 16 bits
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C380_0, 0, 8;
    %assign/v0 v01063E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4CEB0_0, 0, 9;
    %assign/v0 v010649A8_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4D170_0, 0, 10;
    %assign/v0 v01064C68_0, 0, 10;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C9E0_0, 0, 11;
    %assign/v0 v010644A8_0, 0, 11;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C8A8_0, 0, 12;
    %assign/v0 v010643A0_0, 0, 12;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C7A0_0, 0, 13;
    %assign/v0 v01064298_0, 0, 13;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C748_0, 0, 14;
    %assign/v0 v01064240_0, 0, 14;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C698_0, 0, 15;
    %assign/v0 v01064190_0, 0, 15;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.24 ;
T_24.24 ;
    %load/v 8, v00E4C930_0, 8; Only need 8 of 16 bits
    %load/v 8, v010643F8_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00E4C010_0, 0, 8;
    %assign/v0 v01063B08_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.25 ;
T_24.25 ;
T_24.13 ;
T_24.13 ;
    %load/v 8, v00E4D010_0, 1;
    %load/v 8, v01064B08_0, 1;
    %jmp/0xz  T_24.26, 8;
    %jmp/0xz  T_24.26, 8;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_24.28, 4;
    %jmp/1 T_24.28, 4;
    %load/x1p 8, v00E4C640_0, 2;
    %load/x1p 8, v01064138_0, 2;
    %jmp T_24.29;
    %jmp T_24.29;
T_24.28 ;
T_24.28 ;
    %mov 8, 2, 2;
    %mov 8, 2, 2;
T_24.29 ;
T_24.29 ;
; Save base=8 wid=2 in lookaside.
; Save base=8 wid=2 in lookaside.
Line 3005... Line 3005...
    %jmp/1 T_24.32, 6;
    %jmp/1 T_24.32, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_24.33, 6;
    %jmp/1 T_24.33, 6;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.30 ;
T_24.30 ;
    %load/v 8, v00E4C590_0, 16;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C068_0, 0, 8;
    %assign/v0 v01063B60_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.31 ;
T_24.31 ;
    %load/v 8, v00E4C590_0, 16;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C0C0_0, 0, 8;
    %assign/v0 v01063BB8_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.32 ;
T_24.32 ;
    %load/v 8, v00E4C590_0, 16;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C1C8_0, 0, 8;
    %assign/v0 v01063CC0_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.33 ;
T_24.33 ;
    %load/v 8, v00E4C590_0, 16;
    %load/v 8, v01064088_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C170_0, 0, 8;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.34 ;
T_24.34 ;
T_24.26 ;
T_24.26 ;
    %load/v 8, v00E4CF60_0, 1;
    %load/v 8, v01064A58_0, 1;
    %jmp/0xz  T_24.35, 8;
    %jmp/0xz  T_24.35, 8;
    %load/v 8, v00E4BF60_0, 8;
    %load/v 8, v01063A58_0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C380_0, 0, 8;
    %assign/v0 v01063E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4CEB0_0, 0, 9;
    %assign/v0 v010649A8_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4D170_0, 0, 10;
    %assign/v0 v01064C68_0, 0, 10;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C9E0_0, 0, 11;
    %assign/v0 v010644A8_0, 0, 11;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C8A8_0, 0, 12;
    %assign/v0 v010643A0_0, 0, 12;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C7A0_0, 0, 13;
    %assign/v0 v01064298_0, 0, 13;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C748_0, 0, 14;
    %assign/v0 v01064240_0, 0, 14;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C698_0, 0, 15;
    %assign/v0 v01064190_0, 0, 15;
T_24.35 ;
T_24.35 ;
    %load/v 8, v00E4CE00_0, 1;
    %load/v 8, v010648F8_0, 1;
    %load/v 9, v00E4C3D8_0, 1;
    %load/v 9, v01063ED0_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.37, 8;
    %jmp/0xz  T_24.37, 8;
    %load/v 8, v00E4CE00_0, 1;
    %load/v 8, v010648F8_0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00E4C698_0, 0, 8;
    %assign/v0 v01064190_0, 0, 8;
T_24.37 ;
T_24.37 ;
    %load/v 8, v00E4CFB8_0, 1;
    %load/v 8, v01064AB0_0, 1;
    %jmp/0xz  T_24.39, 8;
    %jmp/0xz  T_24.39, 8;
    %load/v 8, v00E4C988_0, 16;
    %load/v 8, v01064450_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C118_0, 0, 8;
    %assign/v0 v01063C10_0, 0, 8;
T_24.39 ;
T_24.39 ;
    %load/v 8, v00E4C850_0, 1;
    %load/v 8, v01064348_0, 1;
    %jmp/0xz  T_24.41, 8;
    %jmp/0xz  T_24.41, 8;
    %load/v 8, v00E4CE58_0, 1;
    %load/v 8, v01064950_0, 1;
    %jmp/0xz  T_24.43, 8;
    %jmp/0xz  T_24.43, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00E4C170_0, 16;
    %load/vp0 8, v01063C68_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C170_0, 0, 8;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.44;
    %jmp T_24.44;
T_24.43 ;
T_24.43 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00E4C1C8_0, 16;
    %load/vp0 8, v01063CC0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C1C8_0, 0, 8;
    %assign/v0 v01063CC0_0, 0, 8;
T_24.44 ;
T_24.44 ;
T_24.41 ;
T_24.41 ;
    %load/v 8, v00E4C4E0_0, 1;
    %load/v 8, v01063FD8_0, 1;
    %jmp/0xz  T_24.45, 8;
    %jmp/0xz  T_24.45, 8;
    %load/v 8, v00E4CE58_0, 1;
    %load/v 8, v01064950_0, 1;
    %jmp/0xz  T_24.47, 8;
    %jmp/0xz  T_24.47, 8;
    %load/v 8, v00E4C170_0, 16;
    %load/v 8, v01063C68_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C170_0, 0, 8;
    %assign/v0 v01063C68_0, 0, 8;
    %jmp T_24.48;
    %jmp T_24.48;
T_24.47 ;
T_24.47 ;
    %load/v 8, v00E4C1C8_0, 16;
    %load/v 8, v01063CC0_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00E4C1C8_0, 0, 8;
    %assign/v0 v01063CC0_0, 0, 8;
T_24.48 ;
T_24.48 ;
T_24.45 ;
T_24.45 ;
    %jmp T_24;
    %jmp T_24;
    .thread T_24;
    .thread T_24;
    .scope S_007E3FC0;
    .scope S_00B18B20;
T_25 ;
T_25 ;
    %movi 8, 65534, 16;
    %movi 8, 65534, 16;
    %set/v v00E4C118_0, 8, 16;
    %set/v v01063C10_0, 8, 16;
    %set/v v00E4C010_0, 0, 8;
    %set/v v01063B08_0, 0, 8;
    %set/v v00E4C068_0, 0, 16;
    %set/v v01063B60_0, 0, 16;
    %set/v v00E4C380_0, 0, 1;
    %set/v v01063E78_0, 0, 1;
    %set/v v00E4CEB0_0, 0, 1;
    %set/v v010649A8_0, 0, 1;
    %set/v v00E4D170_0, 0, 1;
    %set/v v01064C68_0, 0, 1;
    %set/v v00E4C9E0_0, 0, 1;
    %set/v v010644A8_0, 0, 1;
    %set/v v00E4C8A8_0, 0, 1;
    %set/v v010643A0_0, 0, 1;
    %set/v v00E4C7A0_0, 0, 1;
    %set/v v01064298_0, 0, 1;
    %set/v v00E4C748_0, 0, 1;
    %set/v v01064240_0, 0, 1;
    %set/v v00E4C698_0, 0, 1;
    %set/v v01064190_0, 0, 1;
    %movi 8, 42330, 16;
    %movi 8, 42330, 16;
    %set/v v00E4C0C0_0, 8, 16;
    %set/v v01063BB8_0, 8, 16;
    %movi 8, 3840, 16;
    %movi 8, 3840, 16;
    %set/v v00E4C170_0, 8, 16;
    %set/v v01063C68_0, 8, 16;
    %movi 8, 3584, 16;
    %movi 8, 3584, 16;
    %set/v v00E4C1C8_0, 8, 16;
    %set/v v01063CC0_0, 8, 16;
    %end;
    %end;
    .thread T_25;
    .thread T_25;
    .scope S_007E4048;
    .scope S_00B18BA8;
T_26 ;
T_26 ;
    %wait E_007C0E18;
    %wait E_00AEEB58;
    %set/v v00E4ACA0_0, 1, 4;
    %set/v v01062798_0, 1, 4;
    %set/v v00E4B7A0_0, 1, 4;
    %set/v v01063298_0, 1, 4;
    %set/v v00E4ABF0_0, 1, 4;
    %set/v v010626E8_0, 1, 4;
    %set/v v00E4AC48_0, 0, 2;
    %set/v v01062740_0, 0, 2;
    %set/v v00E4B748_0, 0, 2;
    %set/v v01063240_0, 0, 2;
    %set/v v00E4AB98_0, 0, 2;
    %set/v v01062690_0, 0, 2;
    %set/v v00E4ACF8_0, 0, 3;
    %set/v v010627F0_0, 0, 3;
    %set/v v00E4A988_0, 0, 5;
    %set/v v01061450_0, 0, 5;
    %set/v v00E4B850_0, 1, 1;
    %set/v v01063348_0, 1, 1;
    %set/v v00E4B8A8_0, 0, 1;
    %set/v v010633A0_0, 0, 1;
    %set/v v00E4B170_0, 0, 1;
    %set/v v01062C68_0, 0, 1;
    %set/v v00E4AE00_0, 0, 1;
    %set/v v010628F8_0, 0, 1;
    %set/v v00E4B1C8_0, 0, 1;
    %set/v v01062CC0_0, 0, 1;
    %set/v v00E4B0C0_0, 0, 1;
    %set/v v01062BB8_0, 0, 1;
    %set/v v00E4B068_0, 0, 1;
    %set/v v01062B60_0, 0, 1;
    %set/v v00E4ADA8_0, 0, 1;
    %set/v v010628A0_0, 0, 1;
    %set/v v00E4AF60_0, 0, 1;
    %set/v v01062A58_0, 0, 1;
    %set/v v00E4B118_0, 0, 1;
    %set/v v01062C10_0, 0, 1;
    %set/v v00E4B010_0, 0, 1;
    %set/v v01062B08_0, 0, 1;
    %set/v v00E4AFB8_0, 0, 1;
    %set/v v01062AB0_0, 0, 1;
    %set/v v00E4AF08_0, 0, 1;
    %set/v v01062A00_0, 0, 1;
    %set/v v00E4AE58_0, 0, 1;
    %set/v v01062950_0, 0, 1;
    %set/v v00E4AEB0_0, 0, 1;
    %set/v v010629A8_0, 0, 1;
    %set/v v00E4B328_0, 0, 1;
    %set/v v01062E20_0, 0, 1;
    %set/v v00E4B380_0, 0, 1;
    %set/v v01062E78_0, 0, 1;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_26.0, 4;
    %jmp/1 T_26.0, 4;
    %load/x1p 8, v00E4B220_0, 4;
    %load/x1p 8, v01062D18_0, 4;
    %jmp T_26.1;
    %jmp T_26.1;
T_26.0 ;
T_26.0 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_26.1 ;
T_26.1 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
Line 3188... Line 3188...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.17, 6;
    %jmp/1 T_26.17, 6;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.2 ;
T_26.2 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.19, 6;
    %jmp/1 T_26.19, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.20, 6;
    %jmp/1 T_26.20, 6;
Line 3230... Line 3230...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.34, 6;
    %jmp/1 T_26.34, 6;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.19 ;
T_26.19 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 21, 5;
    %movi 8, 21, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.20 ;
T_26.20 ;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.21 ;
T_26.21 ;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.22 ;
T_26.22 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 22, 5;
    %movi 8, 22, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.23 ;
T_26.23 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 16, 5;
    %movi 8, 16, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.24 ;
T_26.24 ;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.25 ;
T_26.25 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 18, 5;
    %movi 8, 18, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.26 ;
T_26.26 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 20, 5;
    %movi 8, 20, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.27 ;
T_26.27 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 17, 5;
    %movi 8, 17, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.28 ;
T_26.28 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.29 ;
T_26.29 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 25, 5;
    %movi 8, 25, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.30 ;
T_26.30 ;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.31 ;
T_26.31 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 24, 5;
    %movi 8, 24, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.32 ;
T_26.32 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 30, 5;
    %movi 8, 30, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.33 ;
T_26.33 ;
    %set/v v00E4AE58_0, 1, 1;
    %set/v v01062950_0, 1, 1;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.34 ;
T_26.34 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 29, 5;
    %movi 8, 29, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.35;
    %jmp T_26.35;
T_26.35 ;
T_26.35 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.3 ;
T_26.3 ;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.36, 6;
    %jmp/1 T_26.36, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.37, 6;
    %jmp/1 T_26.37, 6;
Line 3362... Line 3362...
    %jmp/1 T_26.50, 6;
    %jmp/1 T_26.50, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.51, 6;
    %jmp/1 T_26.51, 6;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.36 ;
T_26.36 ;
    %set/v v00E4B328_0, 1, 1;
    %set/v v01062E20_0, 1, 1;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.37 ;
T_26.37 ;
    %set/v v00E4B380_0, 1, 1;
    %set/v v01062E78_0, 1, 1;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.38 ;
T_26.38 ;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.39 ;
T_26.39 ;
    %set/v v00E4B170_0, 1, 1;
    %set/v v01062C68_0, 1, 1;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.40 ;
T_26.40 ;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.41 ;
T_26.41 ;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.42 ;
T_26.42 ;
    %set/v v00E4ACF8_0, 1, 3;
    %set/v v010627F0_0, 1, 3;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.43 ;
T_26.43 ;
    %set/v v00E4ACF8_0, 1, 3;
    %set/v v010627F0_0, 1, 3;
    %set/v v00E4AEB0_0, 1, 1;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.44 ;
T_26.44 ;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.45 ;
T_26.45 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 26, 5;
    %movi 8, 26, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.46 ;
T_26.46 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.47 ;
T_26.47 ;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.48 ;
T_26.48 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.49 ;
T_26.49 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 1, 5;
    %movi 8, 1, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.50 ;
T_26.50 ;
    %set/v v00E4AE00_0, 1, 1;
    %set/v v010628F8_0, 1, 1;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_26.53, 4;
    %jmp/1 T_26.53, 4;
    %load/x1p 8, v00E4B698_0, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.54;
    %jmp T_26.54;
T_26.53 ;
T_26.53 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_26.54 ;
T_26.54 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v00E4B7A0_0, 8, 4;
    %set/v v01063298_0, 8, 4;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.51 ;
T_26.51 ;
    %set/v v00E4B1C8_0, 1, 1;
    %set/v v01062CC0_0, 1, 1;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_26.55, 4;
    %jmp/1 T_26.55, 4;
    %load/x1p 8, v00E4B698_0, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.56;
    %jmp T_26.56;
T_26.55 ;
T_26.55 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_26.56 ;
T_26.56 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v00E4B7A0_0, 8, 4;
    %set/v v01063298_0, 8, 4;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.52;
    %jmp T_26.52;
T_26.52 ;
T_26.52 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.4 ;
T_26.4 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.57, 6;
    %jmp/1 T_26.57, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.58, 6;
    %jmp/1 T_26.58, 6;
Line 3540... Line 3540...
T_26.72 ;
T_26.72 ;
    %jmp T_26.73;
    %jmp T_26.73;
T_26.73 ;
T_26.73 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.5 ;
T_26.5 ;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.74, 6;
    %jmp/1 T_26.74, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.75, 6;
    %jmp/1 T_26.75, 6;
Line 3577... Line 3577...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.89, 6;
    %jmp/1 T_26.89, 6;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.74 ;
T_26.74 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4AF08_0, 1, 1;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.75 ;
T_26.75 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4AF08_0, 1, 1;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.76 ;
T_26.76 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4AF08_0, 1, 1;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.77 ;
T_26.77 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4AF08_0, 1, 1;
    %set/v v01062A00_0, 1, 1;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.78 ;
T_26.78 ;
    %set/v v00E4B010_0, 1, 1;
    %set/v v01062B08_0, 1, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.79 ;
T_26.79 ;
    %set/v v00E4AFB8_0, 1, 1;
    %set/v v01062AB0_0, 1, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.80 ;
T_26.80 ;
    %set/v v00E4B010_0, 1, 1;
    %set/v v01062B08_0, 1, 1;
    %set/v v00E4B850_0, 0, 1;
    %set/v v01063348_0, 0, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.81 ;
T_26.81 ;
    %set/v v00E4AFB8_0, 1, 1;
    %set/v v01062AB0_0, 1, 1;
    %set/v v00E4B850_0, 0, 1;
    %set/v v01063348_0, 0, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.82 ;
T_26.82 ;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.83 ;
T_26.83 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B0C0_0, 1, 1;
    %set/v v01062BB8_0, 1, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.84 ;
T_26.84 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4B7A0_0, 8, 4;
    %set/v v01063298_0, 8, 4;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.85 ;
T_26.85 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B068_0, 1, 1;
    %set/v v01062B60_0, 1, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.86 ;
T_26.86 ;
    %set/v v00E4ADA8_0, 1, 1;
    %set/v v010628A0_0, 1, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.87 ;
T_26.87 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4B7A0_0, 8, 4;
    %set/v v01063298_0, 8, 4;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 27, 5;
    %movi 8, 27, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %set/v v00E4AF60_0, 1, 1;
    %set/v v01062A58_0, 1, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.88 ;
T_26.88 ;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.89 ;
T_26.89 ;
    %set/v v00E4B118_0, 1, 1;
    %set/v v01062C10_0, 1, 1;
    %jmp T_26.90;
    %jmp T_26.90;
T_26.90 ;
T_26.90 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.6 ;
T_26.6 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.91, 6;
    %jmp/1 T_26.91, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.92, 6;
    %jmp/1 T_26.92, 6;
Line 3703... Line 3703...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.106, 6;
    %jmp/1 T_26.106, 6;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.91 ;
T_26.91 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 21, 5;
    %movi 8, 21, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.92 ;
T_26.92 ;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.93 ;
T_26.93 ;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.94 ;
T_26.94 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 22, 5;
    %movi 8, 22, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.95 ;
T_26.95 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 16, 5;
    %movi 8, 16, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.96 ;
T_26.96 ;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.97 ;
T_26.97 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 18, 5;
    %movi 8, 18, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.98 ;
T_26.98 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 20, 5;
    %movi 8, 20, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.99 ;
T_26.99 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 17, 5;
    %movi 8, 17, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.100 ;
T_26.100 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.101 ;
T_26.101 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 25, 5;
    %movi 8, 25, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.102 ;
T_26.102 ;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.103 ;
T_26.103 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 24, 5;
    %movi 8, 24, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.104 ;
T_26.104 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 30, 5;
    %movi 8, 30, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.105 ;
T_26.105 ;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.106 ;
T_26.106 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 29, 5;
    %movi 8, 29, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.107;
    %jmp T_26.107;
T_26.107 ;
T_26.107 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.7 ;
T_26.7 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.108, 6;
    %jmp/1 T_26.108, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.109, 6;
    %jmp/1 T_26.109, 6;
Line 3837... Line 3837...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.123, 6;
    %jmp/1 T_26.123, 6;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.108 ;
T_26.108 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 21, 5;
    %movi 8, 21, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.109 ;
T_26.109 ;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.110 ;
T_26.110 ;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.111 ;
T_26.111 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 22, 5;
    %movi 8, 22, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.112 ;
T_26.112 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 16, 5;
    %movi 8, 16, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.113 ;
T_26.113 ;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.114 ;
T_26.114 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 18, 5;
    %movi 8, 18, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.115 ;
T_26.115 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 20, 5;
    %movi 8, 20, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.116 ;
T_26.116 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 17, 5;
    %movi 8, 17, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.117 ;
T_26.117 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.118 ;
T_26.118 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 25, 5;
    %movi 8, 25, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.119 ;
T_26.119 ;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.120 ;
T_26.120 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 24, 5;
    %movi 8, 24, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.121 ;
T_26.121 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 30, 5;
    %movi 8, 30, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.122 ;
T_26.122 ;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.123 ;
T_26.123 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 29, 5;
    %movi 8, 29, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.124;
    %jmp T_26.124;
T_26.124 ;
T_26.124 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.8 ;
T_26.8 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.125, 6;
    %jmp/1 T_26.125, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.126, 6;
    %jmp/1 T_26.126, 6;
Line 3971... Line 3971...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.140, 6;
    %jmp/1 T_26.140, 6;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.125 ;
T_26.125 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 21, 5;
    %movi 8, 21, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.126 ;
T_26.126 ;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.127 ;
T_26.127 ;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.128 ;
T_26.128 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 22, 5;
    %movi 8, 22, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.129 ;
T_26.129 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 16, 5;
    %movi 8, 16, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.130 ;
T_26.130 ;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.131 ;
T_26.131 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 18, 5;
    %movi 8, 18, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.132 ;
T_26.132 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 20, 5;
    %movi 8, 20, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.133 ;
T_26.133 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 17, 5;
    %movi 8, 17, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.134 ;
T_26.134 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.135 ;
T_26.135 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 25, 5;
    %movi 8, 25, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.136 ;
T_26.136 ;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.137 ;
T_26.137 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 24, 5;
    %movi 8, 24, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.138 ;
T_26.138 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.139 ;
T_26.139 ;
    %set/v v00E4AE58_0, 1, 1;
    %set/v v01062950_0, 1, 1;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.140 ;
T_26.140 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 29, 5;
    %movi 8, 29, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.141;
    %jmp T_26.141;
T_26.141 ;
T_26.141 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.9 ;
T_26.9 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.142, 6;
    %jmp/1 T_26.142, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.143, 6;
    %jmp/1 T_26.143, 6;
Line 4108... Line 4108...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.157, 6;
    %jmp/1 T_26.157, 6;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.142 ;
T_26.142 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 21, 5;
    %movi 8, 21, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.143 ;
T_26.143 ;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.144 ;
T_26.144 ;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.145 ;
T_26.145 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 22, 5;
    %movi 8, 22, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.146 ;
T_26.146 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 16, 5;
    %movi 8, 16, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.147 ;
T_26.147 ;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.148 ;
T_26.148 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 18, 5;
    %movi 8, 18, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.149 ;
T_26.149 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 20, 5;
    %movi 8, 20, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.150 ;
T_26.150 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 17, 5;
    %movi 8, 17, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.151 ;
T_26.151 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.152 ;
T_26.152 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 25, 5;
    %movi 8, 25, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.153 ;
T_26.153 ;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.154 ;
T_26.154 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 24, 5;
    %movi 8, 24, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.155 ;
T_26.155 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AC48_0, 8, 2;
    %set/v v01062740_0, 8, 2;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.156 ;
T_26.156 ;
    %set/v v00E4AE58_0, 1, 1;
    %set/v v01062950_0, 1, 1;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.157 ;
T_26.157 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 29, 5;
    %movi 8, 29, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.158;
    %jmp T_26.158;
T_26.158 ;
T_26.158 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.10 ;
T_26.10 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.159, 6;
    %jmp/1 T_26.159, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.160, 6;
    %jmp/1 T_26.160, 6;
Line 4245... Line 4245...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.174, 6;
    %jmp/1 T_26.174, 6;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.159 ;
T_26.159 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.160 ;
T_26.160 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.161 ;
T_26.161 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.162 ;
T_26.162 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.163 ;
T_26.163 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.164 ;
T_26.164 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.165 ;
T_26.165 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.166 ;
T_26.166 ;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.167 ;
T_26.167 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.168 ;
T_26.168 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.169 ;
T_26.169 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.170 ;
T_26.170 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.171 ;
T_26.171 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.172 ;
T_26.172 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4AEB0_0, 1, 1;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.173 ;
T_26.173 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.174 ;
T_26.174 ;
    %jmp T_26.175;
    %jmp T_26.175;
T_26.175 ;
T_26.175 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.11 ;
T_26.11 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.176, 6;
    %jmp/1 T_26.176, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.177, 6;
    %jmp/1 T_26.177, 6;
Line 4388... Line 4388...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.191, 6;
    %jmp/1 T_26.191, 6;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.176 ;
T_26.176 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.177 ;
T_26.177 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.178 ;
T_26.178 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.179 ;
T_26.179 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.180 ;
T_26.180 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.181 ;
T_26.181 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.182 ;
T_26.182 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.183 ;
T_26.183 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.184 ;
T_26.184 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.185 ;
T_26.185 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.186 ;
T_26.186 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.187 ;
T_26.187 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.188 ;
T_26.188 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.189 ;
T_26.189 ;
    %set/v v00E4AEB0_0, 1, 1;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.190 ;
T_26.190 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.191 ;
T_26.191 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.192;
    %jmp T_26.192;
T_26.192 ;
T_26.192 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.12 ;
T_26.12 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.193, 6;
    %jmp/1 T_26.193, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.194, 6;
    %jmp/1 T_26.194, 6;
Line 4567... Line 4567...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.208, 6;
    %jmp/1 T_26.208, 6;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.193 ;
T_26.193 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.194 ;
T_26.194 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.195 ;
T_26.195 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.196 ;
T_26.196 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.197 ;
T_26.197 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.198 ;
T_26.198 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.199 ;
T_26.199 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.200 ;
T_26.200 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.201 ;
T_26.201 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.202 ;
T_26.202 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.203 ;
T_26.203 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.204 ;
T_26.204 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.205 ;
T_26.205 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.206 ;
T_26.206 ;
    %set/v v00E4AEB0_0, 1, 1;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.207 ;
T_26.207 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.208 ;
T_26.208 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.209;
    %jmp T_26.209;
T_26.209 ;
T_26.209 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.13 ;
T_26.13 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.210, 6;
    %jmp/1 T_26.210, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.211, 6;
    %jmp/1 T_26.211, 6;
Line 4746... Line 4746...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.225, 6;
    %jmp/1 T_26.225, 6;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.210 ;
T_26.210 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.211 ;
T_26.211 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.212 ;
T_26.212 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.213 ;
T_26.213 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.214 ;
T_26.214 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.215 ;
T_26.215 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.216 ;
T_26.216 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.217 ;
T_26.217 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.218 ;
T_26.218 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.219 ;
T_26.219 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.220 ;
T_26.220 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.221 ;
T_26.221 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.222 ;
T_26.222 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.223 ;
T_26.223 ;
    %set/v v00E4AEB0_0, 1, 1;
    %set/v v010629A8_0, 1, 1;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.224 ;
T_26.224 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.225 ;
T_26.225 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.226;
    %jmp T_26.226;
T_26.226 ;
T_26.226 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.14 ;
T_26.14 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.227, 6;
    %jmp/1 T_26.227, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.228, 6;
    %jmp/1 T_26.228, 6;
Line 4925... Line 4925...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.242, 6;
    %jmp/1 T_26.242, 6;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.227 ;
T_26.227 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.228 ;
T_26.228 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.229 ;
T_26.229 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.230 ;
T_26.230 ;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.231 ;
T_26.231 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.232 ;
T_26.232 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.233 ;
T_26.233 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.234 ;
T_26.234 ;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.235 ;
T_26.235 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.236 ;
T_26.236 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.237 ;
T_26.237 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.238 ;
T_26.238 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.239 ;
T_26.239 ;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.240 ;
T_26.240 ;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.241 ;
T_26.241 ;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.242 ;
T_26.242 ;
    %jmp T_26.243;
    %jmp T_26.243;
T_26.243 ;
T_26.243 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.15 ;
T_26.15 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.244, 6;
    %jmp/1 T_26.244, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.245, 6;
    %jmp/1 T_26.245, 6;
Line 5069... Line 5069...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.259, 6;
    %jmp/1 T_26.259, 6;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.244 ;
T_26.244 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.245 ;
T_26.245 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.246 ;
T_26.246 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.247 ;
T_26.247 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.248 ;
T_26.248 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.249 ;
T_26.249 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.250 ;
T_26.250 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.251 ;
T_26.251 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.252 ;
T_26.252 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.253 ;
T_26.253 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.254 ;
T_26.254 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.255 ;
T_26.255 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.256 ;
T_26.256 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.257 ;
T_26.257 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.258 ;
T_26.258 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.259 ;
T_26.259 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.260;
    %jmp T_26.260;
T_26.260 ;
T_26.260 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.16 ;
T_26.16 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.261, 6;
    %jmp/1 T_26.261, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.262, 6;
    %jmp/1 T_26.262, 6;
Line 5251... Line 5251...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.276, 6;
    %jmp/1 T_26.276, 6;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.261 ;
T_26.261 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.262 ;
T_26.262 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.263 ;
T_26.263 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.264 ;
T_26.264 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.265 ;
T_26.265 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.266 ;
T_26.266 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.267 ;
T_26.267 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.268 ;
T_26.268 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.269 ;
T_26.269 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.270 ;
T_26.270 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.271 ;
T_26.271 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.272 ;
T_26.272 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.273 ;
T_26.273 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.274 ;
T_26.274 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.275 ;
T_26.275 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.276 ;
T_26.276 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.277;
    %jmp T_26.277;
T_26.277 ;
T_26.277 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.17 ;
T_26.17 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %load/v 8, v00E4B220_0, 4; Only need 4 of 8 bits
    %load/v 8, v01062D18_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.278, 6;
    %jmp/1 T_26.278, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.279, 6;
    %jmp/1 T_26.279, 6;
Line 5433... Line 5433...
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.293, 6;
    %jmp/1 T_26.293, 6;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.278 ;
T_26.278 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.279 ;
T_26.279 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.280 ;
T_26.280 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.281 ;
T_26.281 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.282 ;
T_26.282 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.283 ;
T_26.283 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.284 ;
T_26.284 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.285 ;
T_26.285 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.286 ;
T_26.286 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.287 ;
T_26.287 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.288 ;
T_26.288 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.289 ;
T_26.289 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.290 ;
T_26.290 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %set/v v00E4ABF0_0, 0, 4;
    %set/v v010626E8_0, 0, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.291 ;
T_26.291 ;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.292 ;
T_26.292 ;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.293 ;
T_26.293 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.294;
    %jmp T_26.294;
T_26.294 ;
T_26.294 ;
    %jmp T_26.18;
    %jmp T_26.18;
T_26.18 ;
T_26.18 ;
    %load/v 8, v00E4B328_0, 1;
    %load/v 8, v01062E20_0, 1;
    %jmp/0xz  T_26.295, 8;
    %jmp/0xz  T_26.295, 8;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_26.297, 4;
    %jmp/1 T_26.297, 4;
    %load/x1p 8, v00E4B698_0, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.298;
    %jmp T_26.298;
T_26.297 ;
T_26.297 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_26.298 ;
T_26.298 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
Line 5633... Line 5633...
T_26.303 ;
T_26.303 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.304 ;
T_26.304 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.305 ;
T_26.305 ;
    %set/v v00E4ACF8_0, 1, 3;
    %set/v v010627F0_0, 1, 3;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.306 ;
T_26.306 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/0xz  T_26.316, 4;
    %jmp/0xz  T_26.316, 4;
    %set/v v00E4B118_0, 1, 1;
    %set/v v01062C10_0, 1, 1;
T_26.316 ;
T_26.316 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.307 ;
T_26.307 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.318, 6;
    %jmp/1 T_26.318, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.319, 6;
    %jmp/1 T_26.319, 6;
Line 5687... Line 5687...
    %jmp T_26.334;
    %jmp T_26.334;
T_26.320 ;
T_26.320 ;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.321 ;
T_26.321 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.322 ;
T_26.322 ;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.323 ;
T_26.323 ;
    %jmp T_26.334;
    %jmp T_26.334;
Line 5711... Line 5711...
    %jmp T_26.334;
    %jmp T_26.334;
T_26.329 ;
T_26.329 ;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.330 ;
T_26.330 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.331 ;
T_26.331 ;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.332 ;
T_26.332 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.333 ;
T_26.333 ;
    %jmp T_26.334;
    %jmp T_26.334;
T_26.334 ;
T_26.334 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.308 ;
T_26.308 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.335, 6;
    %jmp/1 T_26.335, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.336, 6;
    %jmp/1 T_26.336, 6;
Line 5777... Line 5777...
    %jmp T_26.351;
    %jmp T_26.351;
T_26.337 ;
T_26.337 ;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.338 ;
T_26.338 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.339 ;
T_26.339 ;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.340 ;
T_26.340 ;
    %jmp T_26.351;
    %jmp T_26.351;
Line 5802... Line 5802...
    %jmp T_26.351;
    %jmp T_26.351;
T_26.346 ;
T_26.346 ;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.347 ;
T_26.347 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.348 ;
T_26.348 ;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.349 ;
T_26.349 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.350 ;
T_26.350 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.351;
    %jmp T_26.351;
T_26.351 ;
T_26.351 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.309 ;
T_26.309 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.352, 6;
    %jmp/1 T_26.352, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.353, 6;
    %jmp/1 T_26.353, 6;
Line 5878... Line 5878...
    %jmp T_26.368;
    %jmp T_26.368;
T_26.354 ;
T_26.354 ;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.355 ;
T_26.355 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.356 ;
T_26.356 ;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.357 ;
T_26.357 ;
    %jmp T_26.368;
    %jmp T_26.368;
Line 5903... Line 5903...
    %jmp T_26.368;
    %jmp T_26.368;
T_26.363 ;
T_26.363 ;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.364 ;
T_26.364 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.365 ;
T_26.365 ;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.366 ;
T_26.366 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.367 ;
T_26.367 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.368;
    %jmp T_26.368;
T_26.368 ;
T_26.368 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.310 ;
T_26.310 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.369, 6;
    %jmp/1 T_26.369, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.370, 6;
    %jmp/1 T_26.370, 6;
Line 5979... Line 5979...
    %jmp T_26.385;
    %jmp T_26.385;
T_26.371 ;
T_26.371 ;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.372 ;
T_26.372 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4ACA0_0, 0, 4;
    %set/v v01062798_0, 0, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.373 ;
T_26.373 ;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.374 ;
T_26.374 ;
    %jmp T_26.385;
    %jmp T_26.385;
Line 6004... Line 6004...
    %jmp T_26.385;
    %jmp T_26.385;
T_26.380 ;
T_26.380 ;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.381 ;
T_26.381 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.382 ;
T_26.382 ;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.383 ;
T_26.383 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.384 ;
T_26.384 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.385;
    %jmp T_26.385;
T_26.385 ;
T_26.385 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.311 ;
T_26.311 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.386, 6;
    %jmp/1 T_26.386, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.387, 6;
    %jmp/1 T_26.387, 6;
Line 6102... Line 6102...
    %jmp T_26.402;
    %jmp T_26.402;
T_26.399 ;
T_26.399 ;
    %jmp T_26.402;
    %jmp T_26.402;
T_26.400 ;
T_26.400 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.402;
    %jmp T_26.402;
T_26.401 ;
T_26.401 ;
    %jmp T_26.402;
    %jmp T_26.402;
T_26.402 ;
T_26.402 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.312 ;
T_26.312 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.403, 6;
    %jmp/1 T_26.403, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.404, 6;
    %jmp/1 T_26.404, 6;
Line 6179... Line 6179...
    %jmp T_26.419;
    %jmp T_26.419;
T_26.416 ;
T_26.416 ;
    %jmp T_26.419;
    %jmp T_26.419;
T_26.417 ;
T_26.417 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.419;
    %jmp T_26.419;
T_26.418 ;
T_26.418 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.419;
    %jmp T_26.419;
T_26.419 ;
T_26.419 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.313 ;
T_26.313 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.420, 6;
    %jmp/1 T_26.420, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.421, 6;
    %jmp/1 T_26.421, 6;
Line 6265... Line 6265...
    %jmp T_26.436;
    %jmp T_26.436;
T_26.433 ;
T_26.433 ;
    %jmp T_26.436;
    %jmp T_26.436;
T_26.434 ;
T_26.434 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.436;
    %jmp T_26.436;
T_26.435 ;
T_26.435 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.436;
    %jmp T_26.436;
T_26.436 ;
T_26.436 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.314 ;
T_26.314 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.437, 6;
    %jmp/1 T_26.437, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.438, 6;
    %jmp/1 T_26.438, 6;
Line 6351... Line 6351...
    %jmp T_26.453;
    %jmp T_26.453;
T_26.450 ;
T_26.450 ;
    %jmp T_26.453;
    %jmp T_26.453;
T_26.451 ;
T_26.451 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ABF0_0, 8, 4;
    %set/v v010626E8_0, 8, 4;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.453;
    %jmp T_26.453;
T_26.452 ;
T_26.452 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4AB98_0, 8, 2;
    %set/v v01062690_0, 8, 2;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.453;
    %jmp T_26.453;
T_26.453 ;
T_26.453 ;
    %jmp T_26.315;
    %jmp T_26.315;
T_26.315 ;
T_26.315 ;
T_26.295 ;
T_26.295 ;
    %load/v 8, v00E4B380_0, 1;
    %load/v 8, v01062E78_0, 1;
    %jmp/0xz  T_26.454, 8;
    %jmp/0xz  T_26.454, 8;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_26.456, 4;
    %jmp/1 T_26.456, 4;
    %load/x1p 8, v00E4B698_0, 4;
    %load/x1p 8, v01063190_0, 4;
    %jmp T_26.457;
    %jmp T_26.457;
T_26.456 ;
T_26.456 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_26.457 ;
T_26.457 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
Line 6436... Line 6436...
T_26.466 ;
T_26.466 ;
    %jmp T_26.473;
    %jmp T_26.473;
T_26.467 ;
T_26.467 ;
    %jmp T_26.473;
    %jmp T_26.473;
T_26.468 ;
T_26.468 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/0xz  T_26.474, 4;
    %jmp/0xz  T_26.474, 4;
    %set/v v00E4B118_0, 1, 1;
    %set/v v01062C10_0, 1, 1;
T_26.474 ;
T_26.474 ;
    %jmp T_26.473;
    %jmp T_26.473;
T_26.469 ;
T_26.469 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.476, 6;
    %jmp/1 T_26.476, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.477, 6;
    %jmp/1 T_26.477, 6;
Line 6487... Line 6487...
    %jmp T_26.492;
    %jmp T_26.492;
T_26.478 ;
T_26.478 ;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.479 ;
T_26.479 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.480 ;
T_26.480 ;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.481 ;
T_26.481 ;
    %jmp T_26.492;
    %jmp T_26.492;
Line 6512... Line 6512...
    %jmp T_26.492;
    %jmp T_26.492;
T_26.487 ;
T_26.487 ;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.488 ;
T_26.488 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %set/v v00E4B8A8_0, 1, 1;
    %set/v v010633A0_0, 1, 1;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.489 ;
T_26.489 ;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.490 ;
T_26.490 ;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.491 ;
T_26.491 ;
    %jmp T_26.492;
    %jmp T_26.492;
T_26.492 ;
T_26.492 ;
    %jmp T_26.473;
    %jmp T_26.473;
T_26.470 ;
T_26.470 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.493, 6;
    %jmp/1 T_26.493, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.494, 6;
    %jmp/1 T_26.494, 6;
Line 6571... Line 6571...
    %jmp T_26.509;
    %jmp T_26.509;
T_26.495 ;
T_26.495 ;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.496 ;
T_26.496 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.497 ;
T_26.497 ;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.498 ;
T_26.498 ;
    %jmp T_26.509;
    %jmp T_26.509;
Line 6597... Line 6597...
    %jmp T_26.509;
    %jmp T_26.509;
T_26.504 ;
T_26.504 ;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.505 ;
T_26.505 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.506 ;
T_26.506 ;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.507 ;
T_26.507 ;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.508 ;
T_26.508 ;
    %jmp T_26.509;
    %jmp T_26.509;
T_26.509 ;
T_26.509 ;
    %jmp T_26.473;
    %jmp T_26.473;
T_26.471 ;
T_26.471 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.510, 6;
    %jmp/1 T_26.510, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.511, 6;
    %jmp/1 T_26.511, 6;
Line 6657... Line 6657...
    %jmp T_26.526;
    %jmp T_26.526;
T_26.512 ;
T_26.512 ;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.513 ;
T_26.513 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.514 ;
T_26.514 ;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.515 ;
T_26.515 ;
    %jmp T_26.526;
    %jmp T_26.526;
Line 6683... Line 6683...
    %jmp T_26.526;
    %jmp T_26.526;
T_26.521 ;
T_26.521 ;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.522 ;
T_26.522 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.523 ;
T_26.523 ;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.524 ;
T_26.524 ;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.525 ;
T_26.525 ;
    %jmp T_26.526;
    %jmp T_26.526;
T_26.526 ;
T_26.526 ;
    %jmp T_26.473;
    %jmp T_26.473;
T_26.472 ;
T_26.472 ;
    %load/v 8, v00E4B698_0, 4; Only need 4 of 8 bits
    %load/v 8, v01063190_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_26.527, 6;
    %jmp/1 T_26.527, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.528, 6;
    %jmp/1 T_26.528, 6;
Line 6743... Line 6743...
    %jmp T_26.543;
    %jmp T_26.543;
T_26.529 ;
T_26.529 ;
    %jmp T_26.543;
    %jmp T_26.543;
T_26.530 ;
T_26.530 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.543;
    %jmp T_26.543;
T_26.531 ;
T_26.531 ;
    %jmp T_26.543;
    %jmp T_26.543;
T_26.532 ;
T_26.532 ;
    %jmp T_26.543;
    %jmp T_26.543;
Line 6769... Line 6769...
    %jmp T_26.543;
    %jmp T_26.543;
T_26.538 ;
T_26.538 ;
    %jmp T_26.543;
    %jmp T_26.543;
T_26.539 ;
T_26.539 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v00E4ACF8_0, 8, 3;
    %set/v v010627F0_0, 8, 3;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E4ACA0_0, 8, 4;
    %set/v v01062798_0, 8, 4;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v00E4B748_0, 8, 2;
    %set/v v01063240_0, 8, 2;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v00E4A988_0, 8, 5;
    %set/v v01061450_0, 8, 5;
    %jmp T_26.543;
    %jmp T_26.543;
T_26.540 ;
T_26.540 ;
    %jmp T_26.543;
    %jmp T_26.543;
T_26.541 ;
T_26.541 ;
    %jmp T_26.543;
    %jmp T_26.543;
Line 6789... Line 6789...
    %jmp T_26.473;
    %jmp T_26.473;
T_26.473 ;
T_26.473 ;
T_26.454 ;
T_26.454 ;
    %jmp T_26;
    %jmp T_26;
    .thread T_26, $push;
    .thread T_26, $push;
    .scope S_007E4048;
    .scope S_00B18BA8;
T_27 ;
T_27 ;
    %wait E_007C0D18;
    %wait E_00AEEA58;
    %load/v 8, v00E4B7A0_0, 4;
    %load/v 8, v01063298_0, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00E4B590_0, 0, 8;
    %assign/v0 v01063088_0, 0, 8;
    %load/v 8, v00E4ACA0_0, 4;
    %load/v 8, v01062798_0, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00E4B488_0, 0, 8;
    %assign/v0 v01062F80_0, 0, 8;
    %load/v 8, v00E4ABF0_0, 4;
    %load/v 8, v010626E8_0, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00E4AB40_0, 0, 8;
    %assign/v0 v01062638_0, 0, 8;
    %load/v 8, v00E4B748_0, 2;
    %load/v 8, v01063240_0, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v00E4B5E8_0, 0, 8;
    %assign/v0 v010630E0_0, 0, 8;
    %load/v 8, v00E4AC48_0, 2;
    %load/v 8, v01062740_0, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v00E4B4E0_0, 0, 8;
    %assign/v0 v01062FD8_0, 0, 8;
    %load/v 8, v00E4AB98_0, 2;
    %load/v 8, v01062690_0, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v00E4AA90_0, 0, 8;
    %assign/v0 v01062588_0, 0, 8;
    %jmp T_27;
    %jmp T_27;
    .thread T_27;
    .thread T_27;
    .scope S_007E40D0;
    .scope S_00B18C30;
T_28 ;
T_28 ;
    %wait E_007C11B8;
    %wait E_00AEEEF8;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %set/v v00E48BF0_0, 8, 4;
    %set/v v010606E8_0, 8, 4;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_28.0, 4;
    %jmp/1 T_28.0, 4;
    %load/x1p 8, v00E48CA0_0, 1;
    %load/x1p 8, v01060798_0, 1;
    %jmp T_28.1;
    %jmp T_28.1;
T_28.0 ;
T_28.0 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_28.1 ;
T_28.1 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_28.2, 4;
    %jmp/1 T_28.2, 4;
    %load/x1p 9, v00E48CA0_0, 1;
    %load/x1p 9, v01060798_0, 1;
    %jmp T_28.3;
    %jmp T_28.3;
T_28.2 ;
T_28.2 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_28.3 ;
T_28.3 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_28.4, 4;
    %jmp/1 T_28.4, 4;
    %load/x1p 9, v00E48CA0_0, 1;
    %load/x1p 9, v01060798_0, 1;
    %jmp T_28.5;
    %jmp T_28.5;
T_28.4 ;
T_28.4 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_28.5 ;
T_28.5 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_28.6, 4;
    %jmp/1 T_28.6, 4;
    %load/x1p 9, v00E48CA0_0, 1;
    %load/x1p 9, v01060798_0, 1;
    %jmp T_28.7;
    %jmp T_28.7;
T_28.6 ;
T_28.6 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_28.7 ;
T_28.7 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_28.8, 8;
    %jmp/0xz  T_28.8, 8;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %set/v v00E48BF0_0, 8, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.9;
    %jmp T_28.9;
T_28.8 ;
T_28.8 ;
    %load/v 8, v00E48CA0_0, 8;
    %load/v 8, v01060798_0, 8;
    %mov 16, 2, 5;
    %mov 16, 2, 5;
    %movi 21, 0, 2;
    %movi 21, 0, 2;
    %mov 23, 2, 1;
    %mov 23, 2, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.10, 4;
    %jmp/1 T_28.10, 4;
Line 6886... Line 6886...
    %cmp/x 8, 40, 8;
    %cmp/x 8, 40, 8;
    %jmp/1 T_28.13, 4;
    %jmp/1 T_28.13, 4;
    %jmp T_28.14;
    %jmp T_28.14;
T_28.10 ;
T_28.10 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v00E48BF0_0, 8, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
    %jmp T_28.14;
T_28.11 ;
T_28.11 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v00E48BF0_0, 8, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
    %jmp T_28.14;
T_28.12 ;
T_28.12 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v00E48BF0_0, 8, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
    %jmp T_28.14;
T_28.13 ;
T_28.13 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v00E48BF0_0, 8, 4;
    %set/v v010606E8_0, 8, 4;
    %jmp T_28.14;
    %jmp T_28.14;
T_28.14 ;
T_28.14 ;
T_28.9 ;
T_28.9 ;
    %jmp T_28;
    %jmp T_28;
    .thread T_28, $push;
    .thread T_28, $push;
    .scope S_007E40D0;
    .scope S_00B18C30;
T_29 ;
T_29 ;
    %wait E_007C11B8;
    %wait E_00AEEEF8;
    %set/v v00E48AE8_0, 0, 1;
    %set/v v010605E0_0, 0, 1;
    %set/v v00E48B40_0, 0, 1;
    %set/v v01060638_0, 0, 1;
    %set/v v00E48A90_0, 0, 1;
    %set/v v01060588_0, 0, 1;
    %set/v v00E48B98_0, 0, 1;
    %set/v v01060690_0, 0, 1;
    %load/v 8, v00E48CA0_0, 8;
    %movi 8, 8, 4;
 
    %set/v v01060740_0, 8, 4;
 
    %load/v 8, v01060798_0, 8;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 0, 1;
    %movi 20, 0, 1;
    %mov 21, 2, 2;
    %mov 21, 2, 2;
    %movi 23, 0, 1;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 6986... Line 6988...
    %movi 127, 1, 1;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %cmp/x 8, 120, 8;
    %jmp/1 T_29.13, 4;
    %jmp/1 T_29.13, 4;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.0 ;
T_29.0 ;
    %set/v v00E48AE8_0, 1, 1;
    %set/v v010605E0_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.1 ;
T_29.1 ;
    %set/v v00E48AE8_0, 1, 1;
    %set/v v010605E0_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.2 ;
T_29.2 ;
    %set/v v00E48B98_0, 1, 1;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.3 ;
T_29.3 ;
    %set/v v00E48B98_0, 1, 1;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.4 ;
T_29.4 ;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.5 ;
T_29.5 ;
    %set/v v00E48B98_0, 1, 1;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.6 ;
T_29.6 ;
    %set/v v00E48B98_0, 1, 1;
    %set/v v01060690_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.7 ;
T_29.7 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v00E48C48_0, 8, 4;
    %set/v v01060740_0, 8, 4;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.8 ;
T_29.8 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v00E48C48_0, 8, 4;
    %set/v v01060740_0, 8, 4;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.9 ;
T_29.9 ;
    %set/v v00E48C48_0, 0, 4;
    %set/v v01060740_0, 0, 4;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.10 ;
T_29.10 ;
    %set/v v00E48B40_0, 1, 1;
    %set/v v01060638_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.11 ;
T_29.11 ;
    %set/v v00E48A90_0, 1, 1;
    %set/v v01060588_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.12 ;
T_29.12 ;
    %set/v v00E48B40_0, 1, 1;
    %set/v v01060638_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.13 ;
T_29.13 ;
    %set/v v00E48A90_0, 1, 1;
    %set/v v01060588_0, 1, 1;
    %jmp T_29.14;
    %jmp T_29.14;
T_29.14 ;
T_29.14 ;
    %jmp T_29;
    %jmp T_29;
    .thread T_29, $push;
    .thread T_29, $push;
    .scope S_007E4158;
    .scope S_00B18CB8;
T_30 ;
T_30 ;
    %wait E_007C1198;
    %wait E_00AEEED8;
    %set/v v007E2048_0, 0, 1;
    %set/v v00B12D50_0, 0, 1;
    %load/v 8, v007E20F8_0, 8;
    %load/v 8, v00B12E00_0, 8;
    %cmpi/u 8, 22, 8;
    %cmpi/u 8, 22, 8;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v007E20F8_0, 8;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 23, 8;
    %cmpi/u 9, 23, 8;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v007E20F8_0, 8;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 141, 8;
    %cmpi/u 9, 141, 8;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v007E20F8_0, 8;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 14, 8;
    %cmpi/u 9, 14, 8;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v007E20F8_0, 8;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 110, 8;
    %cmpi/u 9, 110, 8;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v007E20F8_0, 8;
    %load/v 9, v00B12E00_0, 8;
    %cmpi/u 9, 126, 8;
    %cmpi/u 9, 126, 8;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_30.0, 8;
    %jmp/0xz  T_30.0, 8;
    %set/v v007E2048_0, 1, 1;
    %set/v v00B12D50_0, 1, 1;
T_30.0 ;
T_30.0 ;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.2, 4;
    %jmp/1 T_30.2, 4;
    %load/x1p 8, v007E20A0_0, 4;
    %load/x1p 8, v00B12DA8_0, 4;
    %jmp T_30.3;
    %jmp T_30.3;
T_30.2 ;
T_30.2 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_30.3 ;
T_30.3 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 2, 4;
    %cmpi/u 8, 2, 4;
    %jmp/0xz  T_30.4, 4;
    %jmp/0xz  T_30.4, 4;
    %load/v 8, v007E20A0_0, 4; Only need 4 of 8 bits
    %load/v 8, v00B12DA8_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_30.6, 6;
    %jmp/1 T_30.6, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_30.7, 6;
    %jmp/1 T_30.7, 6;
Line 7103... Line 7105...
    %jmp/1 T_30.20, 6;
    %jmp/1 T_30.20, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_30.21, 6;
    %jmp/1 T_30.21, 6;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.6 ;
T_30.6 ;
    %set/v v007E2048_0, 1, 1;
    %set/v v00B12D50_0, 1, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.7 ;
T_30.7 ;
    %set/v v007E2048_0, 0, 1;
    %set/v v00B12D50_0, 0, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.8 ;
T_30.8 ;
    %load/v 8, v007E1FF0_0, 1; Only need 1 of 8 bits
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.23, 4;
    %jmp/1 T_30.23, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.24;
    %jmp T_30.24;
T_30.23 ;
T_30.23 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.24 ;
T_30.24 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %inv 8, 1;
    %inv 8, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.9 ;
T_30.9 ;
    %load/v 8, v007E1FF0_0, 1; Only need 1 of 8 bits
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.25, 4;
    %jmp/1 T_30.25, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.26;
    %jmp T_30.26;
T_30.25 ;
T_30.25 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.26 ;
T_30.26 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.10 ;
T_30.10 ;
    %load/v 8, v007E1FF0_0, 1; Only need 1 of 8 bits
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.11 ;
T_30.11 ;
    %load/v 8, v007E1FF0_0, 1; Only need 1 of 8 bits
    %load/v 8, v00B12CF8_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.12 ;
T_30.12 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.27, 4;
    %jmp/1 T_30.27, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.28;
    %jmp T_30.28;
T_30.27 ;
T_30.27 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.28 ;
T_30.28 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.13 ;
T_30.13 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.29, 4;
    %jmp/1 T_30.29, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.30;
    %jmp T_30.30;
T_30.29 ;
T_30.29 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.30 ;
T_30.30 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.14 ;
T_30.14 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.31, 4;
    %jmp/1 T_30.31, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.32;
    %jmp T_30.32;
T_30.31 ;
T_30.31 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.32 ;
T_30.32 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.15 ;
T_30.15 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.33, 4;
    %jmp/1 T_30.33, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.34;
    %jmp T_30.34;
T_30.33 ;
T_30.33 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.34 ;
T_30.34 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.16 ;
T_30.16 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.35, 4;
    %jmp/1 T_30.35, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.36;
    %jmp T_30.36;
T_30.35 ;
T_30.35 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.36 ;
T_30.36 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.17 ;
T_30.17 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.37, 4;
    %jmp/1 T_30.37, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.38;
    %jmp T_30.38;
T_30.37 ;
T_30.37 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.38 ;
T_30.38 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.18 ;
T_30.18 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.39, 4;
    %jmp/1 T_30.39, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.40;
    %jmp T_30.40;
T_30.39 ;
T_30.39 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.40 ;
T_30.40 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.41, 4;
    %jmp/1 T_30.41, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.42;
    %jmp T_30.42;
T_30.41 ;
T_30.41 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.42 ;
T_30.42 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.19 ;
T_30.19 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.43, 4;
    %jmp/1 T_30.43, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.44;
    %jmp T_30.44;
T_30.43 ;
T_30.43 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.44 ;
T_30.44 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.45, 4;
    %jmp/1 T_30.45, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.46;
    %jmp T_30.46;
T_30.45 ;
T_30.45 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.46 ;
T_30.46 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %cmp/u 8, 9, 1;
    %inv 4, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.20 ;
T_30.20 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.47, 4;
    %jmp/1 T_30.47, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.48;
    %jmp T_30.48;
T_30.47 ;
T_30.47 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.48 ;
T_30.48 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.49, 4;
    %jmp/1 T_30.49, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.50;
    %jmp T_30.50;
T_30.49 ;
T_30.49 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.50 ;
T_30.50 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.51, 4;
    %jmp/1 T_30.51, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.52;
    %jmp T_30.52;
T_30.51 ;
T_30.51 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.52 ;
T_30.52 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.21 ;
T_30.21 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.53, 4;
    %jmp/1 T_30.53, 4;
    %load/x1p 8, v007E1FF0_0, 1;
    %load/x1p 8, v00B12CF8_0, 1;
    %jmp T_30.54;
    %jmp T_30.54;
T_30.53 ;
T_30.53 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_30.54 ;
T_30.54 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.55, 4;
    %jmp/1 T_30.55, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.56;
    %jmp T_30.56;
T_30.55 ;
T_30.55 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.56 ;
T_30.56 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
Line 7331... Line 7333...
    %inv 4, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_30.57, 4;
    %jmp/1 T_30.57, 4;
    %load/x1p 9, v007E1FF0_0, 1;
    %load/x1p 9, v00B12CF8_0, 1;
    %jmp T_30.58;
    %jmp T_30.58;
T_30.57 ;
T_30.57 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_30.58 ;
T_30.58 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v007E2048_0, 8, 1;
    %set/v v00B12D50_0, 8, 1;
    %jmp T_30.22;
    %jmp T_30.22;
T_30.22 ;
T_30.22 ;
T_30.4 ;
T_30.4 ;
    %jmp T_30;
    %jmp T_30;
    .thread T_30, $push;
    .thread T_30, $push;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_31 ;
T_31 ;
    %wait E_007C1538;
    %wait E_00AEF278;
    %load/v 8, v00979690_0, 4;
    %load/v 8, v0106ABF0_0, 4;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %inv 4, 1;
    %jmp/0xz  T_31.0, 4;
    %jmp/0xz  T_31.0, 4;
    %load/v 8, v00979690_0, 4;
    %load/v 8, v0106ABF0_0, 4;
    %set/v v00978190_0, 8, 4;
    %set/v v01069298_0, 8, 4;
    %jmp T_31.1;
    %jmp T_31.1;
T_31.0 ;
T_31.0 ;
    %load/v 8, v009784D8_0, 4;
    %load/v 8, v010695E0_0, 4;
    %set/v v00978190_0, 8, 4;
    %set/v v01069298_0, 8, 4;
T_31.1 ;
T_31.1 ;
    %jmp T_31;
    %jmp T_31;
    .thread T_31, $push;
    .thread T_31, $push;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_32 ;
T_32 ;
    %wait E_007C1558;
    %wait E_00AEF298;
    %load/v 8, v00979690_0, 4;
    %load/v 8, v0106ABF0_0, 4;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %inv 4, 1;
    %jmp/0xz  T_32.0, 4;
    %jmp/0xz  T_32.0, 4;
    %load/v 8, v00979690_0, 4;
    %load/v 8, v0106ABF0_0, 4;
    %set/v v00978320_0, 8, 4;
    %set/v v010693F8_0, 8, 4;
    %jmp T_32.1;
    %jmp T_32.1;
T_32.0 ;
T_32.0 ;
    %load/v 8, v00978480_0, 4;
    %load/v 8, v01069588_0, 4;
    %set/v v00978320_0, 8, 4;
    %set/v v010693F8_0, 8, 4;
T_32.1 ;
T_32.1 ;
    %jmp T_32;
    %jmp T_32;
    .thread T_32, $push;
    .thread T_32, $push;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_33 ;
T_33 ;
    %wait E_007C1778;
    %wait E_00AEF4B8;
    %load/v 8, v00979DC8_0, 1;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v00979ED0_0, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v00979E78_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_33.0, 8;
    %jmp/0xz  T_33.0, 8;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v009782C8_0, 8, 16;
    %set/v v010693A0_0, 8, 16;
    %jmp T_33.1;
    %jmp T_33.1;
T_33.0 ;
T_33.0 ;
    %load/v 8, v00979D18_0, 1;
    %load/v 8, v0106B278_0, 1;
    %jmp/0xz  T_33.2, 8;
    %jmp/0xz  T_33.2, 8;
    %load/v 8, v00978798_0, 1;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_33.4, 8;
    %jmp/0xz  T_33.4, 8;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v009782C8_0, 8, 16;
    %set/v v010693A0_0, 8, 16;
    %jmp T_33.5;
    %jmp T_33.5;
T_33.4 ;
T_33.4 ;
    %load/v 8, v0097A0E0_0, 16;
    %load/v 8, v0106B640_0, 16;
    %set/v v009782C8_0, 8, 16;
    %set/v v010693A0_0, 8, 16;
T_33.5 ;
T_33.5 ;
    %jmp T_33.3;
    %jmp T_33.3;
T_33.2 ;
T_33.2 ;
    %load/v 8, v00977D18_0, 16;
    %load/v 8, v01068E20_0, 16;
    %set/v v009782C8_0, 8, 16;
    %set/v v010693A0_0, 8, 16;
T_33.3 ;
T_33.3 ;
T_33.1 ;
T_33.1 ;
    %jmp T_33;
    %jmp T_33;
    .thread T_33, $push;
    .thread T_33, $push;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_34 ;
T_34 ;
    %wait E_007C1758;
    %wait E_00AEF498;
    %load/v 8, v00978530_0, 2;
    %load/v 8, v01069638_0, 2;
    %mov 10, 0, 1;
    %mov 10, 0, 1;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/0xz  T_34.0, 4;
    %jmp/0xz  T_34.0, 4;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v009781E8_0, 8, 16;
    %set/v v010692F0_0, 8, 16;
    %jmp T_34.1;
    %jmp T_34.1;
T_34.0 ;
T_34.0 ;
    %load/v 8, v00979D18_0, 1;
    %load/v 8, v0106B278_0, 1;
    %jmp/0xz  T_34.2, 8;
    %jmp/0xz  T_34.2, 8;
    %load/v 8, v00978798_0, 1;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_34.4, 8;
    %jmp/0xz  T_34.4, 8;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v009781E8_0, 8, 16;
    %set/v v010692F0_0, 8, 16;
    %jmp T_34.5;
    %jmp T_34.5;
T_34.4 ;
T_34.4 ;
    %load/v 8, v0097A0E0_0, 16;
    %load/v 8, v0106B640_0, 16;
    %set/v v009781E8_0, 8, 16;
    %set/v v010692F0_0, 8, 16;
T_34.5 ;
T_34.5 ;
    %jmp T_34.3;
    %jmp T_34.3;
T_34.2 ;
T_34.2 ;
    %load/v 8, v0097A138_0, 16;
    %load/v 8, v0106B698_0, 16;
    %set/v v009781E8_0, 8, 16;
    %set/v v010692F0_0, 8, 16;
T_34.3 ;
T_34.3 ;
T_34.1 ;
T_34.1 ;
    %jmp T_34;
    %jmp T_34;
    .thread T_34, $push;
    .thread T_34, $push;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_35 ;
T_35 ;
    %wait E_007C29D8;
    %wait E_00AF0718;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_35.0, 6;
    %jmp/1 T_35.0, 6;
    %cmpi/u 8, 6, 3;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_35.1, 6;
    %jmp/1 T_35.1, 6;
    %cmpi/u 8, 5, 3;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_35.2, 6;
    %jmp/1 T_35.2, 6;
    %cmpi/u 8, 3, 3;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_35.3, 6;
    %jmp/1 T_35.3, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_35.4, 6;
    %jmp/1 T_35.4, 6;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
    %jmp T_35.6;
T_35.0 ;
T_35.0 ;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %load/v 24, v00979320_0, 8;
    %load/v 24, v0106A450_0, 8;
    %load/v 32, v009792C8_0, 8;
    %load/v 32, v0106A3F8_0, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
    %jmp T_35.6;
T_35.1 ;
T_35.1 ;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %load/v 24, v00979320_0, 8;
    %load/v 24, v0106A450_0, 8;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_35.7, 4;
    %jmp/1 T_35.7, 4;
    %load/x1p 48, v00979320_0, 1;
    %load/x1p 48, v0106A450_0, 1;
    %jmp T_35.8;
    %jmp T_35.8;
T_35.7 ;
T_35.7 ;
    %mov 48, 2, 1;
    %mov 48, 2, 1;
T_35.8 ;
T_35.8 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 40, 48, 1; Move signal select into place
Line 7491... Line 7493...
    %mov 43, 40, 1; Repetition 4
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
    %jmp T_35.6;
T_35.2 ;
T_35.2 ;
    %load/v 8, v00978F80_0, 8;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v00978F28_0, 8;
    %load/v 16, v0106A030_0, 8;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
    %jmp T_35.6;
T_35.3 ;
T_35.3 ;
    %load/v 8, v00978F80_0, 8;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v0097A088_0, 8;
    %load/v 16, v0106B5E8_0, 8;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.6;
    %jmp T_35.6;
T_35.4 ;
T_35.4 ;
    %load/v 8, v00978798_0, 1;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_35.9, 8;
    %jmp/0xz  T_35.9, 8;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
    %jmp T_35.10;
    %jmp T_35.10;
T_35.9 ;
T_35.9 ;
    %load/v 8, v0097A0E0_0, 16;
    %load/v 8, v0106B640_0, 16;
    %set/v v009793D0_0, 8, 16;
    %set/v v0106A930_0, 8, 16;
T_35.10 ;
T_35.10 ;
    %jmp T_35.6;
    %jmp T_35.6;
T_35.6 ;
T_35.6 ;
    %jmp T_35;
    %jmp T_35;
    .thread T_35, $push;
    .thread T_35, $push;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_36 ;
T_36 ;
    %wait E_007C2A18;
    %wait E_00AF0758;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106A450_0, 8;
    %load/v 16, v009792C8_0, 8;
    %load/v 16, v0106A3F8_0, 8;
    %set/v v00978240_0, 8, 16;
    %set/v v01069348_0, 8, 16;
    %load/v 8, v009785E0_0, 2;
    %load/v 8, v010696E8_0, 2;
    %mov 10, 0, 1;
    %mov 10, 0, 1;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v00978B08_0, 3;
    %load/v 9, v01069C10_0, 3;
    %cmpi/u 9, 1, 3;
    %cmpi/u 9, 1, 3;
    %inv 4, 1;
    %inv 4, 1;
    %mov 9, 4, 1;
    %mov 9, 4, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_36.0, 8;
    %jmp/0xz  T_36.0, 8;
    %load/v 8, v0097A1E8_0, 16;
    %load/v 8, v0106B748_0, 16;
    %set/v v00978240_0, 8, 16;
    %set/v v01069348_0, 8, 16;
T_36.0 ;
T_36.0 ;
    %jmp T_36;
    %jmp T_36;
    .thread T_36, $push;
    .thread T_36, $push;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_37 ;
T_37 ;
    %wait E_007C2A38;
    %wait E_00AF0778;
    %load/v 8, v00979848_0, 1;
    %load/v 8, v0106ADA8_0, 1;
    %jmp/0xz  T_37.0, 8;
    %jmp/0xz  T_37.0, 8;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 0;
    %assign/v0 v0106B7F8_0, 0, 0;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v009797F0_0, 0, 0;
    %assign/v0 v0106AD50_0, 0, 0;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979740_0, 0, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979798_0, 0, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
    %jmp T_37.1;
    %jmp T_37.1;
T_37.0 ;
T_37.0 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.2, 4;
    %jmp/1 T_37.2, 4;
    %load/x1p 8, v009797F0_0, 1;
    %load/x1p 8, v0106AD50_0, 1;
    %jmp T_37.3;
    %jmp T_37.3;
T_37.2 ;
T_37.2 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.3 ;
T_37.3 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.4, 8;
    %jmp/0xz  T_37.4, 8;
    %load/v 8, v00978030_0, 1;
    %load/v 11, v01069138_0, 1;
    %load/v 9, v009797F0_0, 2; Select 2 out of 3 bits
    %inv 11, 1;
 
    %mov 8, 11, 1;
 
    %load/v 9, v0106AD50_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v009797F0_0, 0, 8;
    %assign/v0 v0106AD50_0, 0, 8;
T_37.4 ;
T_37.4 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.6, 4;
    %jmp/1 T_37.6, 4;
    %load/x1p 8, v00979798_0, 1;
    %load/x1p 8, v0106ACF8_0, 1;
    %jmp T_37.7;
    %jmp T_37.7;
T_37.6 ;
T_37.6 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.7 ;
T_37.7 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.8, 8;
    %jmp/0xz  T_37.8, 8;
    %load/v 8, v00977F80_0, 1;
    %load/v 11, v01069088_0, 1;
    %load/v 9, v00979798_0, 2; Select 2 out of 3 bits
    %inv 11, 1;
 
    %mov 8, 11, 1;
 
    %load/v 9, v0106ACF8_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979798_0, 0, 8;
    %assign/v0 v0106ACF8_0, 0, 8;
T_37.8 ;
T_37.8 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.10, 4;
    %jmp/1 T_37.10, 4;
    %load/x1p 8, v00979740_0, 1;
    %load/x1p 8, v0106ACA0_0, 1;
    %jmp T_37.11;
    %jmp T_37.11;
T_37.10 ;
T_37.10 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.11 ;
T_37.11 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.12, 8;
    %jmp/0xz  T_37.12, 8;
    %load/v 8, v00977F28_0, 1;
    %load/v 11, v01069030_0, 1;
    %load/v 9, v00979740_0, 2; Select 2 out of 3 bits
    %inv 11, 1;
 
    %mov 8, 11, 1;
 
    %load/v 9, v0106ACA0_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979740_0, 0, 8;
    %assign/v0 v0106ACA0_0, 0, 8;
T_37.12 ;
T_37.12 ;
    %load/v 8, v00979088_0, 1;
    %load/v 8, v0106A1E8_0, 1;
    %jmp/0xz  T_37.14, 8;
    %jmp/0xz  T_37.14, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979088_0, 0, 0;
    %assign/v0 v0106A1E8_0, 0, 0;
T_37.14 ;
T_37.14 ;
    %load/v 8, v009799A8_0, 1;
    %load/v 8, v0106AF08_0, 1;
    %jmp/0xz  T_37.16, 8;
    %jmp/0xz  T_37.16, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009799A8_0, 0, 0;
    %assign/v0 v0106AF08_0, 0, 0;
T_37.16 ;
T_37.16 ;
    %load/v 8, v00978E78_0, 1;
    %load/v 8, v01069F80_0, 1;
    %jmp/0xz  T_37.18, 8;
    %jmp/0xz  T_37.18, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E78_0, 0, 0;
    %assign/v0 v01069F80_0, 0, 0;
T_37.18 ;
T_37.18 ;
    %load/v 8, v00978E20_0, 1;
    %load/v 8, v01069F28_0, 1;
    %jmp/0xz  T_37.20, 8;
    %jmp/0xz  T_37.20, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 0;
    %assign/v0 v01069F28_0, 0, 0;
T_37.20 ;
T_37.20 ;
    %load/v 8, v00979A00_0, 1;
    %load/v 8, v0106AF60_0, 1;
    %jmp/0xz  T_37.22, 8;
    %jmp/0xz  T_37.22, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979A00_0, 0, 0;
    %assign/v0 v0106AF60_0, 0, 0;
T_37.22 ;
T_37.22 ;
    %load/v 8, v00978ED0_0, 1;
    %load/v 8, v01069FD8_0, 1;
    %jmp/0xz  T_37.24, 8;
    %jmp/0xz  T_37.24, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978ED0_0, 0, 0;
    %assign/v0 v01069FD8_0, 0, 0;
T_37.24 ;
T_37.24 ;
    %load/v 8, v009790E0_0, 1;
    %load/v 8, v0106A240_0, 1;
    %jmp/0xz  T_37.26, 8;
    %jmp/0xz  T_37.26, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009790E0_0, 0, 0;
    %assign/v0 v0106A240_0, 0, 0;
T_37.26 ;
T_37.26 ;
    %load/v 8, v009798A0_0, 1;
    %load/v 8, v0106AE00_0, 1;
    %jmp/0xz  T_37.28, 8;
    %jmp/0xz  T_37.28, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 0;
    %assign/v0 v0106AE00_0, 0, 0;
T_37.28 ;
T_37.28 ;
    %load/v 8, v00978D18_0, 1;
    %load/v 8, v01069E20_0, 1;
    %jmp/0xz  T_37.30, 8;
    %jmp/0xz  T_37.30, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978D18_0, 0, 0;
    %assign/v0 v01069E20_0, 0, 0;
T_37.30 ;
T_37.30 ;
    %load/v 8, v009798F8_0, 1;
    %load/v 8, v0106AE58_0, 1;
    %jmp/0xz  T_37.32, 8;
    %jmp/0xz  T_37.32, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798F8_0, 0, 0;
    %assign/v0 v0106AE58_0, 0, 0;
T_37.32 ;
T_37.32 ;
    %load/v 8, v00979950_0, 1;
    %load/v 8, v0106AEB0_0, 1;
    %jmp/0xz  T_37.34, 8;
    %jmp/0xz  T_37.34, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979950_0, 0, 0;
    %assign/v0 v0106AEB0_0, 0, 0;
T_37.34 ;
T_37.34 ;
    %load/v 8, v00979A58_0, 1;
    %load/v 8, v0106AFB8_0, 1;
    %jmp/0xz  T_37.36, 8;
    %jmp/0xz  T_37.36, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979A58_0, 0, 0;
    %assign/v0 v0106AFB8_0, 0, 0;
T_37.36 ;
T_37.36 ;
    %load/v 8, v0097AAC8_0, 6;
    %load/v 8, v0106B7F8_0, 6;
    %cmpi/u 8, 0, 6;
    %cmpi/u 8, 0, 6;
    %jmp/1 T_37.38, 6;
    %jmp/1 T_37.38, 6;
    %cmpi/u 8, 1, 6;
    %cmpi/u 8, 1, 6;
    %jmp/1 T_37.39, 6;
    %jmp/1 T_37.39, 6;
    %cmpi/u 8, 2, 6;
    %cmpi/u 8, 2, 6;
Line 7758... Line 7766...
    %cmpi/u 8, 63, 6;
    %cmpi/u 8, 63, 6;
    %jmp/1 T_37.83, 6;
    %jmp/1 T_37.83, 6;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.38 ;
T_37.38 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 1;
    %assign/v0 v0106A030_0, 0, 1;
    %movi 8, 254, 8;
    %movi 8, 254, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %movi 8, 8, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %movi 8, 21, 8;
    %movi 8, 21, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979530_0, 0, 8;
    %assign/v0 v0106AA90_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.39 ;
T_37.39 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v009797F0_0, 0, 0;
    %assign/v0 v0106AD50_0, 0, 0;
    %movi 8, 65532, 16;
    %movi 8, 65532, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 16;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 1;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.40 ;
T_37.40 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 54, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0097AAC8_0, 0, 8;
 
    %movi 8, 65530, 16;
    %movi 8, 65530, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 16;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 1;
    %assign/v0 v0106AE00_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.41 ;
T_37.41 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979798_0, 0, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
    %movi 8, 54, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0097AAC8_0, 0, 8;
 
    %movi 8, 65528, 16;
    %movi 8, 65528, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 16;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %movi 8, 32, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v00979AB0_0, 0, 8;
 
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 1;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.42 ;
T_37.42 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979740_0, 0, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
    %movi 8, 65526, 16;
    %movi 8, 65526, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 16;
    %assign/v0 v0106A030_0, 0, 16;
    %movi 8, 129, 8;
    %movi 8, 129, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 8;
    %assign/v0 v0106AC48_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978D18_0, 0, 1;
    %assign/v0 v01069E20_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.43 ;
T_37.43 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 65524, 16;
    %movi 8, 65524, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 16;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 1;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.44 ;
T_37.44 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 65522, 16;
    %movi 8, 65522, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 16;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 1;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.45 ;
T_37.45 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 65520, 16;
    %movi 8, 65520, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 16;
    %assign/v0 v0106A030_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 1;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.46 ;
T_37.46 ;
    %vpi_call 3 457 "$display", "cpu_data_i %02x %t", v00977E20_0, $time;
    %vpi_call 3 450 "$display", "cpu_data_i %02x %t", v01068F28_0, $time;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.47 ;
T_37.47 ;
    %load/v 8, v00979240_0, 3;
    %load/v 8, v0106A3A0_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_37.85, 6;
    %jmp/1 T_37.85, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_37.86, 6;
    %jmp/1 T_37.86, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
Line 7967... Line 7966...
    %cmpi/u 8, 5, 3;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_37.90, 6;
    %jmp/1 T_37.90, 6;
    %jmp T_37.91;
    %jmp T_37.91;
T_37.85 ;
T_37.85 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979588_0, 0, 0;
    %assign/v0 v0106AAE8_0, 0, 0;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009795E0_0, 0, 0;
    %assign/v0 v0106AB40_0, 0, 0;
 
    %movi 8, 18, 8;
 
    %ix/load 0, 8, 0;
 
    %assign/v0 v0106AA90_0, 0, 8;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 1;
    %assign/v0 v0106ABF0_0, 0, 1;
    %load/v 8, v00979428_0, 1;
    %load/v 8, v0106A988_0, 1;
    %jmp/0xz  T_37.92, 8;
    %jmp/0xz  T_37.92, 8;
    %movi 8, 1, 6;
    %movi 8, 1, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.93;
    %jmp T_37.93;
T_37.92 ;
T_37.92 ;
    %load/v 8, v00978FD8_0, 1;
    %load/v 8, v0106A138_0, 1;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.94, 4;
    %jmp/1 T_37.94, 4;
    %load/x1p 9, v0097A030_0, 1;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.95;
    %jmp T_37.95;
T_37.94 ;
T_37.94 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_37.95 ;
T_37.95 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.96, 8;
    %jmp/0xz  T_37.96, 8;
    %movi 8, 4, 6;
    %movi 8, 4, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.97;
    %jmp T_37.97;
T_37.96 ;
T_37.96 ;
    %load/v 8, v009791E8_0, 1;
    %load/v 8, v0106A348_0, 1;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.98, 4;
    %jmp/1 T_37.98, 4;
    %load/x1p 9, v0097A030_0, 1;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.99;
    %jmp T_37.99;
T_37.98 ;
T_37.98 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_37.99 ;
T_37.99 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.100, 8;
    %jmp/0xz  T_37.100, 8;
    %movi 8, 3, 6;
    %movi 8, 3, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.101;
    %jmp T_37.101;
T_37.100 ;
T_37.100 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979088_0, 0, 1;
    %assign/v0 v0106A1E8_0, 0, 1;
T_37.101 ;
T_37.101 ;
T_37.97 ;
T_37.97 ;
T_37.93 ;
T_37.93 ;
    %jmp T_37.91;
    %jmp T_37.91;
T_37.86 ;
T_37.86 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 1;
    %assign/v0 v01069F28_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.91;
    %jmp T_37.91;
T_37.87 ;
T_37.87 ;
    %load/v 8, v00977ED0_0, 1;
    %load/v 8, v01068FD8_0, 1;
    %jmp/0xz  T_37.102, 8;
    %jmp/0xz  T_37.102, 8;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979530_0, 0, 8;
    %assign/v0 v0106AA90_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 0;
    %assign/v0 v01069F28_0, 0, 0;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %cmpi/u 8, 16, 8;
    %cmpi/u 8, 16, 8;
    %jmp/1 T_37.104, 6;
    %jmp/1 T_37.104, 6;
    %cmpi/u 8, 17, 8;
    %cmpi/u 8, 17, 8;
    %jmp/1 T_37.105, 6;
    %jmp/1 T_37.105, 6;
    %cmpi/u 8, 30, 8;
    %cmpi/u 8, 30, 8;
    %jmp/1 T_37.106, 6;
    %jmp/1 T_37.106, 6;
    %cmpi/u 8, 31, 8;
    %cmpi/u 8, 31, 8;
    %jmp/1 T_37.107, 6;
    %jmp/1 T_37.107, 6;
    %movi 8, 15, 6;
    %movi 8, 15, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 0;
    %assign/v0 v0106A3A0_0, 0, 0;
    %jmp T_37.109;
    %jmp T_37.109;
T_37.104 ;
T_37.104 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979588_0, 0, 1;
    %assign/v0 v0106AAE8_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
    %jmp T_37.109;
T_37.105 ;
T_37.105 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009795E0_0, 0, 1;
    %assign/v0 v0106AB40_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
    %jmp T_37.109;
T_37.106 ;
T_37.106 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
    %jmp T_37.109;
T_37.107 ;
T_37.107 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.109;
    %jmp T_37.109;
T_37.109 ;
T_37.109 ;
T_37.102 ;
T_37.102 ;
    %jmp T_37.91;
    %jmp T_37.91;
T_37.88 ;
T_37.88 ;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979088_0, 0, 1;
    %assign/v0 v0106A1E8_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.91;
    %jmp T_37.91;
T_37.89 ;
T_37.89 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 1;
    %assign/v0 v01069F28_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00979240_0, 3;
    %load/vp0 8, v0106A3A0_0, 3;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 8;
    %assign/v0 v0106A3A0_0, 0, 8;
    %jmp T_37.91;
    %jmp T_37.91;
T_37.90 ;
T_37.90 ;
    %load/v 8, v00977ED0_0, 1;
    %load/v 8, v01068FD8_0, 1;
    %jmp/0xz  T_37.110, 8;
    %jmp/0xz  T_37.110, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979240_0, 0, 0;
    %assign/v0 v0106A3A0_0, 0, 0;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979638_0, 0, 8;
    %assign/v0 v0106AB98_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 0;
    %assign/v0 v01069F28_0, 0, 0;
    %movi 8, 15, 6;
    %movi 8, 15, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.110 ;
T_37.110 ;
    %jmp T_37.91;
    %jmp T_37.91;
T_37.91 ;
T_37.91 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.48 ;
T_37.48 ;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_37.112, 6;
    %jmp/1 T_37.112, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_37.113, 6;
    %jmp/1 T_37.113, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
Line 8144... Line 8148...
    %jmp/1 T_37.118, 6;
    %jmp/1 T_37.118, 6;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_37.119, 6;
    %jmp/1 T_37.119, 6;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.112 ;
T_37.112 ;
    %load/v 8, v00979F80_0, 1;
    %load/v 8, v0106B4E0_0, 1;
    %jmp/0xz  T_37.121, 8;
    %jmp/0xz  T_37.121, 8;
    %movi 8, 38, 6;
    %movi 8, 38, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.122;
    %jmp T_37.122;
T_37.121 ;
T_37.121 ;
    %load/v 8, v00979E20_0, 1;
    %load/v 8, v0106B380_0, 1;
    %jmp/0xz  T_37.123, 8;
    %jmp/0xz  T_37.123, 8;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %jmp T_37.124;
    %jmp T_37.124;
T_37.123 ;
T_37.123 ;
    %load/v 8, v00979DC8_0, 1;
    %load/v 8, v0106B328_0, 1;
    %jmp/0xz  T_37.125, 8;
    %jmp/0xz  T_37.125, 8;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.126;
    %jmp T_37.126;
T_37.125 ;
T_37.125 ;
    %load/v 8, v00979C10_0, 1;
    %load/v 8, v0106B170_0, 1;
    %jmp/0xz  T_37.127, 8;
    %jmp/0xz  T_37.127, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979950_0, 0, 1;
    %assign/v0 v0106AEB0_0, 0, 1;
    %movi 8, 21, 6;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.128;
    %jmp T_37.128;
T_37.127 ;
T_37.127 ;
    %load/v 8, v00979FD8_0, 1;
    %load/v 8, v0106B538_0, 1;
    %jmp/0xz  T_37.129, 8;
    %jmp/0xz  T_37.129, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979A58_0, 0, 1;
    %assign/v0 v0106AFB8_0, 0, 1;
    %movi 8, 21, 6;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.130;
    %jmp T_37.130;
T_37.129 ;
T_37.129 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.130 ;
T_37.130 ;
T_37.128 ;
T_37.128 ;
T_37.126 ;
T_37.126 ;
T_37.124 ;
T_37.124 ;
T_37.122 ;
T_37.122 ;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.113 ;
T_37.113 ;
    %load/v 8, v00978690_0, 1;
    %load/v 8, v01069798_0, 1;
    %jmp/0xz  T_37.131, 8;
    %jmp/0xz  T_37.131, 8;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.132;
    %jmp T_37.132;
T_37.131 ;
T_37.131 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.132 ;
T_37.132 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.114 ;
T_37.114 ;
    %load/v 8, v00979E78_0, 1;
    %load/v 8, v0106B3D8_0, 1;
    %jmp/0xz  T_37.133, 8;
    %jmp/0xz  T_37.133, 8;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %jmp T_37.134;
    %jmp T_37.134;
T_37.133 ;
T_37.133 ;
    %load/v 8, v00979ED0_0, 1;
    %load/v 8, v0106B430_0, 1;
    %jmp/0xz  T_37.135, 8;
    %jmp/0xz  T_37.135, 8;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 128, 8;
    %movi 8, 128, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 8;
    %assign/v0 v0106AC48_0, 0, 8;
    %jmp T_37.136;
    %jmp T_37.136;
T_37.135 ;
T_37.135 ;
    %load/v 8, v00979D70_0, 1;
    %load/v 8, v0106B2D0_0, 1;
    %jmp/0xz  T_37.137, 8;
    %jmp/0xz  T_37.137, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979378_0, 0, 1;
    %assign/v0 v0106A4A8_0, 0, 1;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.138;
    %jmp T_37.138;
T_37.137 ;
T_37.137 ;
    %load/v 8, v00979F28_0, 1;
    %load/v 8, v0106B488_0, 1;
    %jmp/0xz  T_37.139, 8;
    %jmp/0xz  T_37.139, 8;
    %load/v 8, v00979588_0, 1;
    %load/v 8, v0106AAE8_0, 1;
    %jmp/0xz  T_37.141, 8;
    %jmp/0xz  T_37.141, 8;
    %movi 8, 5, 6;
    %movi 8, 5, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.142;
    %jmp T_37.142;
T_37.141 ;
T_37.141 ;
    %load/v 8, v009795E0_0, 1;
    %load/v 8, v0106AB40_0, 1;
    %jmp/0xz  T_37.143, 8;
    %jmp/0xz  T_37.143, 8;
    %movi 8, 6, 6;
    %movi 8, 6, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.144;
    %jmp T_37.144;
T_37.143 ;
T_37.143 ;
    %movi 8, 2, 6;
    %movi 8, 2, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.144 ;
T_37.144 ;
T_37.142 ;
T_37.142 ;
    %jmp T_37.140;
    %jmp T_37.140;
T_37.139 ;
T_37.139 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.140 ;
T_37.140 ;
T_37.138 ;
T_37.138 ;
T_37.136 ;
T_37.136 ;
T_37.134 ;
T_37.134 ;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.115 ;
T_37.115 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v00979CC0_0, 1;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.145, 8;
    %jmp/0xz  T_37.145, 8;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.146;
    %jmp T_37.146;
T_37.145 ;
T_37.145 ;
    %load/v 8, v00979C68_0, 1;
    %load/v 8, v0106B1C8_0, 1;
    %jmp/0xz  T_37.147, 8;
    %jmp/0xz  T_37.147, 8;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.148;
    %jmp T_37.148;
T_37.147 ;
T_37.147 ;
    %load/v 8, v00978A58_0, 1;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.149, 8;
    %jmp/0xz  T_37.149, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.150;
    %jmp T_37.150;
T_37.149 ;
T_37.149 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.150 ;
T_37.150 ;
    %load/v 8, v0097A088_0, 8;
    %load/v 8, v0106B5E8_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 8;
    %assign/v0 v0106A030_0, 0, 8;
T_37.148 ;
T_37.148 ;
T_37.146 ;
T_37.146 ;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.116 ;
T_37.116 ;
    %movi 8, 22, 6;
    %movi 8, 22, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.117 ;
T_37.117 ;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v00979CC0_0, 1;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.151, 8;
    %jmp/0xz  T_37.151, 8;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.152;
    %jmp T_37.152;
T_37.151 ;
T_37.151 ;
    %load/v 8, v00979C68_0, 1;
    %load/v 8, v0106B1C8_0, 1;
    %jmp/0xz  T_37.153, 8;
    %jmp/0xz  T_37.153, 8;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.154;
    %jmp T_37.154;
T_37.153 ;
T_37.153 ;
    %load/v 8, v00978A58_0, 1;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.155, 8;
    %jmp/0xz  T_37.155, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.156;
    %jmp T_37.156;
T_37.155 ;
T_37.155 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.156 ;
T_37.156 ;
T_37.154 ;
T_37.154 ;
T_37.152 ;
T_37.152 ;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.118 ;
T_37.118 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v00979CC0_0, 1;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.157, 8;
    %jmp/0xz  T_37.157, 8;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.158;
    %jmp T_37.158;
T_37.157 ;
T_37.157 ;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.158 ;
T_37.158 ;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.119 ;
T_37.119 ;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v00979CC0_0, 1;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.159, 8;
    %jmp/0xz  T_37.159, 8;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.160;
    %jmp T_37.160;
T_37.159 ;
T_37.159 ;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
T_37.160 ;
T_37.160 ;
    %jmp T_37.120;
    %jmp T_37.120;
T_37.120 ;
T_37.120 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.49 ;
T_37.49 ;
    %load/v 8, v00979378_0, 1;
    %load/v 8, v0106A4A8_0, 1;
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.161, 8;
    %jmp/0xz  T_37.161, 8;
    %movi 8, 18, 6;
    %movi 8, 18, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798F8_0, 0, 1;
    %assign/v0 v0106AE58_0, 0, 1;
T_37.161 ;
T_37.161 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979378_0, 0, 0;
    %assign/v0 v0106A4A8_0, 0, 0;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.50 ;
T_37.50 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %load/v 8, v00979BB8_0, 1;
    %load/v 8, v0106B118_0, 1;
    %jmp/0xz  T_37.163, 8;
    %jmp/0xz  T_37.163, 8;
    %movi 8, 19, 6;
    %movi 8, 19, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.164;
    %jmp T_37.164;
T_37.163 ;
T_37.163 ;
    %load/v 8, v00978740_0, 2;
    %load/v 8, v01069848_0, 2;
    %mov 10, 0, 1;
    %mov 10, 0, 1;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/0xz  T_37.165, 4;
    %jmp/0xz  T_37.165, 4;
    %movi 8, 62, 6;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.166;
    %jmp T_37.166;
T_37.165 ;
T_37.165 ;
    %load/v 8, v00978740_0, 2;
    %load/v 8, v01069848_0, 2;
    %mov 10, 0, 1;
    %mov 10, 0, 1;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
    %jmp/0xz  T_37.167, 4;
    %jmp/0xz  T_37.167, 4;
    %movi 8, 60, 6;
    %movi 8, 60, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.168;
    %jmp T_37.168;
T_37.167 ;
T_37.167 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v009788F8_0, 1;
    %load/v 8, v01069A00_0, 1;
    %load/v 9, v00978B08_0, 3;
    %load/v 9, v01069C10_0, 3;
    %cmpi/u 9, 4, 3;
    %cmpi/u 9, 4, 3;
    %mov 9, 4, 1;
    %mov 9, 4, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979A00_0, 0, 8;
    %assign/v0 v0106AF60_0, 0, 8;
T_37.168 ;
T_37.168 ;
T_37.166 ;
T_37.166 ;
T_37.164 ;
T_37.164 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.51 ;
T_37.51 ;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 1;
    %assign/v0 v0106AC48_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798A0_0, 0, 1;
    %assign/v0 v0106AE00_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 20, 6;
    %movi 8, 20, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B08_0, 0, 8;
    %assign/v0 v0106B068_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.52 ;
T_37.52 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A0E0_0, 0, 1;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 1;
    %assign/v0 v0106A030_0, 0, 1;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v00978F80_0, 0, 1;
    %assign/v0/x1 v0106A088_0, 0, 1;
    %load/v 8, v00979428_0, 1;
    %load/v 8, v0106A988_0, 1;
    %jmp/0xz  T_37.169, 8;
    %jmp/0xz  T_37.169, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v009797F0_0, 0, 0;
    %assign/v0 v0106AD50_0, 0, 0;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v00978F80_0, 0, 8;
    %assign/v0/x1 v0106A088_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.170;
    %jmp T_37.170;
T_37.169 ;
T_37.169 ;
    %load/v 8, v00978FD8_0, 1;
    %load/v 8, v0106A138_0, 1;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.171, 4;
    %jmp/1 T_37.171, 4;
    %load/x1p 9, v0097A030_0, 1;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.172;
    %jmp T_37.172;
T_37.171 ;
T_37.171 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_37.172 ;
T_37.172 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.173, 8;
    %jmp/0xz  T_37.173, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979740_0, 0, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v00978F80_0, 0, 8;
    %assign/v0/x1 v0106A088_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.174;
    %jmp T_37.174;
T_37.173 ;
T_37.173 ;
    %load/v 8, v009791E8_0, 1;
    %load/v 8, v0106A348_0, 1;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.175, 4;
    %jmp/1 T_37.175, 4;
    %load/x1p 9, v0097A030_0, 1;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.176;
    %jmp T_37.176;
T_37.175 ;
T_37.175 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_37.176 ;
T_37.176 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.177, 8;
    %jmp/0xz  T_37.177, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979798_0, 0, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v00978F80_0, 0, 8;
    %assign/v0/x1 v0106A088_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.177 ;
T_37.177 ;
T_37.174 ;
T_37.174 ;
T_37.170 ;
T_37.170 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.53 ;
T_37.53 ;
    %load/v 8, v00979428_0, 1;
    %load/v 8, v0106A988_0, 1;
    %jmp/0xz  T_37.179, 8;
    %jmp/0xz  T_37.179, 8;
    %load/v 8, v009797F0_0, 3;
    %load/v 8, v0106AD50_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_37.181, 4;
    %jmp/0xz  T_37.181, 4;
    %movi 8, 1, 6;
    %movi 8, 1, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.182;
    %jmp T_37.182;
T_37.181 ;
T_37.181 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v009797F0_0, 0, 0;
    %assign/v0 v0106AD50_0, 0, 0;
T_37.182 ;
T_37.182 ;
    %jmp T_37.180;
    %jmp T_37.180;
T_37.179 ;
T_37.179 ;
    %load/v 8, v00978FD8_0, 1;
    %load/v 8, v0106A138_0, 1;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.183, 4;
    %jmp/1 T_37.183, 4;
    %load/x1p 9, v0097A030_0, 1;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.184;
    %jmp T_37.184;
T_37.183 ;
T_37.183 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_37.184 ;
T_37.184 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.185, 8;
    %jmp/0xz  T_37.185, 8;
    %load/v 8, v00979740_0, 3;
    %load/v 8, v0106ACA0_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_37.187, 4;
    %jmp/0xz  T_37.187, 4;
    %movi 8, 4, 6;
    %movi 8, 4, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.188;
    %jmp T_37.188;
T_37.187 ;
T_37.187 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979740_0, 0, 0;
    %assign/v0 v0106ACA0_0, 0, 0;
T_37.188 ;
T_37.188 ;
    %jmp T_37.186;
    %jmp T_37.186;
T_37.185 ;
T_37.185 ;
    %load/v 8, v009791E8_0, 1;
    %load/v 8, v0106A348_0, 1;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.189, 4;
    %jmp/1 T_37.189, 4;
    %load/x1p 9, v0097A030_0, 1;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.190;
    %jmp T_37.190;
T_37.189 ;
T_37.189 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_37.190 ;
T_37.190 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.191, 8;
    %jmp/0xz  T_37.191, 8;
    %load/v 8, v00979798_0, 3;
    %load/v 8, v0106ACF8_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_37.193, 4;
    %jmp/0xz  T_37.193, 4;
    %movi 8, 3, 6;
    %movi 8, 3, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.194;
    %jmp T_37.194;
T_37.193 ;
T_37.193 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v00979798_0, 0, 0;
    %assign/v0 v0106ACF8_0, 0, 0;
T_37.194 ;
T_37.194 ;
    %jmp T_37.192;
    %jmp T_37.192;
T_37.191 ;
T_37.191 ;
    %movi 8, 10, 6;
    %movi 8, 10, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
T_37.192 ;
T_37.192 ;
T_37.186 ;
T_37.186 ;
T_37.180 ;
T_37.180 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.54 ;
T_37.54 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.55 ;
T_37.55 ;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %movi 8, 23, 6;
    %movi 8, 23, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979088_0, 0, 1;
    %assign/v0 v0106A1E8_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.56 ;
T_37.56 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 1;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 24, 6;
    %movi 8, 24, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.57 ;
T_37.57 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979138_0, 0, 8;
    %assign/v0 v0106A298_0, 0, 8;
    %movi 8, 25, 6;
    %movi 8, 25, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.58 ;
T_37.58 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979190_0, 0, 0;
    %assign/v0 v0106A2F0_0, 0, 0;
    %load/v 8, v009788A0_0, 1;
    %load/v 8, v010699A8_0, 1;
    %jmp/0xz  T_37.195, 8;
    %jmp/0xz  T_37.195, 8;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 26, 6;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.196;
    %jmp T_37.196;
T_37.195 ;
T_37.195 ;
    %load/v 8, v009787F0_0, 1;
    %load/v 8, v010698F8_0, 1;
    %jmp/0xz  T_37.197, 8;
    %jmp/0xz  T_37.197, 8;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 26, 6;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.198;
    %jmp T_37.198;
T_37.197 ;
T_37.197 ;
    %load/v 8, v00979CC0_0, 1;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.199, 8;
    %jmp/0xz  T_37.199, 8;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.200;
    %jmp T_37.200;
T_37.199 ;
T_37.199 ;
    %load/v 8, v00978A58_0, 1;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.201, 8;
    %jmp/0xz  T_37.201, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v00978798_0, 1;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_37.203, 8;
    %jmp/0xz  T_37.203, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A190_0, 0, 1;
T_37.203 ;
T_37.203 ;
    %jmp T_37.202;
    %jmp T_37.202;
T_37.201 ;
T_37.201 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.202 ;
T_37.202 ;
T_37.200 ;
T_37.200 ;
T_37.198 ;
T_37.198 ;
T_37.196 ;
T_37.196 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.59 ;
T_37.59 ;
    %load/v 8, v00979CC0_0, 1;
    %load/v 8, v0106B220_0, 1;
    %jmp/0xz  T_37.205, 8;
    %jmp/0xz  T_37.205, 8;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %jmp T_37.206;
    %jmp T_37.206;
T_37.205 ;
T_37.205 ;
    %load/v 8, v00978A58_0, 1;
    %load/v 8, v01069B60_0, 1;
    %jmp/0xz  T_37.207, 8;
    %jmp/0xz  T_37.207, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %load/v 8, v00978798_0, 1;
    %load/v 8, v010698A0_0, 1;
    %jmp/0xz  T_37.209, 8;
    %jmp/0xz  T_37.209, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 1;
    %assign/v0 v0106A190_0, 0, 1;
T_37.209 ;
T_37.209 ;
    %jmp T_37.208;
    %jmp T_37.208;
T_37.207 ;
T_37.207 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.208 ;
T_37.208 ;
T_37.206 ;
T_37.206 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.60 ;
T_37.60 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.61 ;
T_37.61 ;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %movi 8, 34, 6;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
 
    %load/v 8, v0106B7A0_0, 16;
 
    %subi 8, 1, 16;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.62 ;
T_37.62 ;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979B60_0, 0, 8;
    %assign/v0 v0106B0C0_0, 0, 8;
    %load/v 8, v009796E8_0, 8;
    %load/v 8, v0106AC48_0, 8;
    %mov 16, 0, 1;
    %cmpi/u 8, 0, 8;
    %cmp/u 0, 8, 9;
    %inv 4, 1;
    %jmp/0xz  T_37.211, 5;
    %jmp/0xz  T_37.211, 4;
    %movi 8, 34, 6;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.212;
    %jmp T_37.212;
T_37.211 ;
T_37.211 ;
    %load/v 8, v00979B08_0, 6;
    %load/v 8, v0106B068_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.212 ;
T_37.212 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.213, 4;
    %jmp/1 T_37.213, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.214;
    %jmp T_37.214;
T_37.213 ;
T_37.213 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.214 ;
T_37.214 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.215, 8;
    %jmp/0xz  T_37.215, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.216;
    %jmp T_37.216;
T_37.215 ;
T_37.215 ;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.217, 4;
    %jmp/1 T_37.217, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.218;
    %jmp T_37.218;
T_37.217 ;
T_37.217 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.218 ;
T_37.218 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.219, 8;
    %jmp/0xz  T_37.219, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %load/v 8, v00978C10_0, 1;
    %load/v 8, v01069D18_0, 1;
    %jmp/0  T_37.221, 8;
    %jmp/0  T_37.221, 8;
    %movi 9, 3, 4;
    %movi 9, 3, 4;
    %jmp/1  T_37.223, 8;
    %jmp/1  T_37.223, 8;
T_37.221 ; End of true expr.
T_37.221 ; End of true expr.
    %movi 13, 4, 4;
    %movi 13, 4, 4;
Line 8804... Line 8812...
    %jmp  T_37.223;
    %jmp  T_37.223;
T_37.222 ;
T_37.222 ;
    %mov 9, 13, 4; Return false value
    %mov 9, 13, 4; Return false value
T_37.223 ;
T_37.223 ;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 9;
    %assign/v0 v0106ABF0_0, 0, 9;
    %jmp T_37.220;
    %jmp T_37.220;
T_37.219 ;
T_37.219 ;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.224, 4;
    %jmp/1 T_37.224, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.225;
    %jmp T_37.225;
T_37.224 ;
T_37.224 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.225 ;
T_37.225 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.226, 8;
    %jmp/0xz  T_37.226, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.227;
    %jmp T_37.227;
T_37.226 ;
T_37.226 ;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.228, 4;
    %jmp/1 T_37.228, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.229;
    %jmp T_37.229;
T_37.228 ;
T_37.228 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.229 ;
T_37.229 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.230, 8;
    %jmp/0xz  T_37.230, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.231;
    %jmp T_37.231;
T_37.230 ;
T_37.230 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.232, 4;
    %jmp/1 T_37.232, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.233;
    %jmp T_37.233;
T_37.232 ;
T_37.232 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.233 ;
T_37.233 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.234, 8;
    %jmp/0xz  T_37.234, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 11, 4;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.235;
    %jmp T_37.235;
T_37.234 ;
T_37.234 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.236, 4;
    %jmp/1 T_37.236, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.237;
    %jmp T_37.237;
T_37.236 ;
T_37.236 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.237 ;
T_37.237 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.238, 8;
    %jmp/0xz  T_37.238, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.239;
    %jmp T_37.239;
T_37.238 ;
T_37.238 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.240, 4;
    %jmp/1 T_37.240, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.241;
    %jmp T_37.241;
T_37.240 ;
T_37.240 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.241 ;
T_37.241 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.242, 8;
    %jmp/0xz  T_37.242, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %jmp T_37.243;
    %jmp T_37.243;
T_37.242 ;
T_37.242 ;
    %load/v 8, v009796E8_0, 1; Only need 1 of 8 bits
    %load/v 8, v0106AC48_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.244, 8;
    %jmp/0xz  T_37.244, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
T_37.244 ;
T_37.244 ;
T_37.243 ;
T_37.243 ;
T_37.239 ;
T_37.239 ;
T_37.235 ;
T_37.235 ;
T_37.231 ;
T_37.231 ;
T_37.227 ;
T_37.227 ;
T_37.220 ;
T_37.220 ;
T_37.216 ;
T_37.216 ;
 
    %load/v 8, v0106B7A0_0, 16;
 
    %subi 8, 1, 16;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.63 ;
T_37.63 ;
    %load/v 8, v009796E8_0, 8;
    %load/v 8, v0106AC48_0, 8;
    %cmpi/u 8, 0, 8;
    %cmpi/u 8, 0, 8;
    %inv 4, 1;
    %inv 4, 1;
    %jmp/0xz  T_37.246, 4;
    %jmp/0xz  T_37.246, 4;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v00979AB0_0, 0, 8;
    %assign/v0 v0106B010_0, 0, 8;
    %jmp T_37.247;
    %load/v 8, v0106AC48_0, 1; Only need 1 of 8 bits
T_37.246 ;
 
    %movi 8, 9, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0097AAC8_0, 0, 8;
 
T_37.247 ;
 
    %load/v 8, v009796E8_0, 1; Only need 1 of 8 bits
 
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.248, 8;
    %jmp/0xz  T_37.248, 8;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.249;
    %jmp T_37.249;
T_37.248 ;
T_37.248 ;
    %load/v 8, v00979E78_0, 1;
    %load/v 8, v0106B3D8_0, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.250, 4;
    %jmp/1 T_37.250, 4;
    %load/x1p 9, v0097A030_0, 1;
    %load/x1p 9, v0106B590_0, 1;
    %jmp T_37.251;
    %jmp T_37.251;
T_37.250 ;
T_37.250 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_37.251 ;
T_37.251 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.252, 8;
    %jmp/0xz  T_37.252, 8;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 0;
    %assign/v0 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.253;
    %jmp T_37.253;
T_37.252 ;
T_37.252 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.254, 4;
    %jmp/1 T_37.254, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.255;
    %jmp T_37.255;
T_37.254 ;
T_37.254 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.255 ;
T_37.255 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.256, 8;
    %jmp/0xz  T_37.256, 8;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.257;
    %jmp T_37.257;
T_37.256 ;
T_37.256 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.258, 4;
    %jmp/1 T_37.258, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.259;
    %jmp T_37.259;
T_37.258 ;
T_37.258 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.259 ;
T_37.259 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.260, 8;
    %jmp/0xz  T_37.260, 8;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.261;
    %jmp T_37.261;
T_37.260 ;
T_37.260 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.262, 4;
    %jmp/1 T_37.262, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.263;
    %jmp T_37.263;
T_37.262 ;
T_37.262 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.263 ;
T_37.263 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.264, 8;
    %jmp/0xz  T_37.264, 8;
    %movi 8, 11, 4;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 57, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.265;
    %jmp T_37.265;
T_37.264 ;
T_37.264 ;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.266, 4;
    %jmp/1 T_37.266, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.267;
    %jmp T_37.267;
T_37.266 ;
T_37.266 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.267 ;
T_37.267 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.268, 8;
    %jmp/0xz  T_37.268, 8;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.269;
    %jmp T_37.269;
T_37.268 ;
T_37.268 ;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.270, 4;
    %jmp/1 T_37.270, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.271;
    %jmp T_37.271;
T_37.270 ;
T_37.270 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.271 ;
T_37.271 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.272, 8;
    %jmp/0xz  T_37.272, 8;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.273;
    %jmp T_37.273;
T_37.272 ;
T_37.272 ;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.274, 4;
    %jmp/1 T_37.274, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.275;
    %jmp T_37.275;
T_37.274 ;
T_37.274 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.275 ;
T_37.275 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.276, 8;
    %jmp/0xz  T_37.276, 8;
    %load/v 8, v00978C10_0, 1;
    %load/v 8, v01069D18_0, 1;
    %jmp/0  T_37.278, 8;
    %jmp/0  T_37.278, 8;
    %movi 9, 3, 4;
    %movi 9, 3, 4;
    %jmp/1  T_37.280, 8;
    %jmp/1  T_37.280, 8;
T_37.278 ; End of true expr.
T_37.278 ; End of true expr.
    %movi 13, 4, 4;
    %movi 13, 4, 4;
Line 9095... Line 9101...
    %jmp  T_37.280;
    %jmp  T_37.280;
T_37.279 ;
T_37.279 ;
    %mov 9, 13, 4; Return false value
    %mov 9, 13, 4; Return false value
T_37.280 ;
T_37.280 ;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 9;
    %assign/v0 v0106ABF0_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.277;
    %jmp T_37.277;
T_37.276 ;
T_37.276 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.281, 4;
    %jmp/1 T_37.281, 4;
    %load/x1p 8, v009796E8_0, 1;
    %load/x1p 8, v0106AC48_0, 1;
    %jmp T_37.282;
    %jmp T_37.282;
T_37.281 ;
T_37.281 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_37.282 ;
T_37.282 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_37.283, 8;
    %jmp/0xz  T_37.283, 8;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v00979690_0, 0, 8;
    %assign/v0 v0106ABF0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v009796E8_0, 0, 0;
    %assign/v0/x1 v0106AC48_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.283 ;
T_37.283 ;
T_37.277 ;
T_37.277 ;
T_37.273 ;
T_37.273 ;
T_37.269 ;
T_37.269 ;
T_37.265 ;
T_37.265 ;
T_37.261 ;
T_37.261 ;
T_37.257 ;
T_37.257 ;
T_37.253 ;
T_37.253 ;
T_37.249 ;
T_37.249 ;
 
    %jmp T_37.247;
 
T_37.246 ;
 
    %movi 8, 9, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0106B7F8_0, 0, 8;
 
T_37.247 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.64 ;
T_37.64 ;
    %load/v 8, v0097A138_0, 8; Only need 8 of 16 bits
    %load/v 8, v0106B698_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978DC8_0, 0, 8;
    %assign/v0 v01069ED0_0, 0, 8;
    %movi 8, 35, 6;
    %movi 8, 35, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E78_0, 0, 1;
    %assign/v0 v01069F80_0, 0, 1;
    %load/v 8, v0097A240_0, 16;
    %load/v 8, v0106B7A0_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978ED0_0, 0, 1;
    %assign/v0 v01069FD8_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.65 ;
T_37.65 ;
    %load/v 8, v00979690_0, 4;
    %load/v 8, v0106ABF0_0, 4;
   %cmpi/u 8, 8, 4;
   %cmpi/u 8, 8, 4;
    %jmp/0xz  T_37.285, 5;
    %jmp/0xz  T_37.285, 5;
    %movi 8, 36, 6;
    %movi 8, 36, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.286;
    %jmp T_37.286;
T_37.285 ;
T_37.285 ;
    %load/v 8, v009796E8_0, 4; Select 4 out of 8 bits
    %load/v 8, v0106AC48_0, 4; Select 4 out of 8 bits
    %mov 12, 0, 1;
    %mov 12, 0, 1;
    %cmp/u 0, 8, 5;
    %cmp/u 0, 8, 5;
    %jmp/0xz  T_37.287, 5;
    %jmp/0xz  T_37.287, 5;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.288;
    %jmp T_37.288;
T_37.287 ;
T_37.287 ;
    %load/v 8, v00979B08_0, 6;
    %load/v 8, v0106B068_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.288 ;
T_37.288 ;
T_37.286 ;
T_37.286 ;
    %load/v 8, v00978D70_0, 16;
    %load/v 8, v01069E78_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.66 ;
T_37.66 ;
    %ix/load 1, 8, 0;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.289, 4;
    %jmp/1 T_37.289, 4;
    %load/x1p 8, v0097A138_0, 8;
    %load/x1p 8, v0106B698_0, 8;
    %jmp T_37.290;
    %jmp T_37.290;
T_37.289 ;
T_37.289 ;
    %mov 8, 2, 8;
    %mov 8, 2, 8;
T_37.290 ;
T_37.290 ;
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978DC8_0, 0, 8;
    %assign/v0 v01069ED0_0, 0, 8;
    %movi 8, 37, 6;
    %movi 8, 37, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E78_0, 0, 1;
    %assign/v0 v01069F80_0, 0, 1;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %load/v 12, v00979690_0, 4;
    %load/v 12, v0106ABF0_0, 4;
    %cmp/u 8, 12, 4;
    %cmp/u 8, 12, 4;
    %or 5, 4, 1;
    %or 5, 4, 1;
    %jmp/0xz  T_37.291, 5;
    %jmp/0xz  T_37.291, 5;
    %load/v 8, v0097A240_0, 16;
    %load/v 8, v0106B7A0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
T_37.291 ;
T_37.291 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978ED0_0, 0, 1;
    %assign/v0 v01069FD8_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.67 ;
T_37.67 ;
    %load/v 8, v00979B60_0, 6;
    %load/v 8, v0106B0C0_0, 6;
    %mov 14, 0, 3;
    %mov 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %cmpi/u 8, 27, 9;
    %jmp/0xz  T_37.293, 4;
    %jmp/0xz  T_37.293, 4;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009799A8_0, 0, 1;
    %assign/v0 v0106AF08_0, 0, 1;
T_37.293 ;
T_37.293 ;
    %load/v 8, v00979B60_0, 6;
    %load/v 8, v0106B0C0_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.68 ;
T_37.68 ;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %movi 8, 49, 6;
    %movi 8, 49, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979088_0, 0, 1;
    %assign/v0 v0106A1E8_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.69 ;
T_37.69 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 1;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 50, 6;
    %movi 8, 50, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.70 ;
T_37.70 ;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_37.295, 6;
    %jmp/1 T_37.295, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_37.296, 6;
    %jmp/1 T_37.296, 6;
    %cmpi/u 8, 5, 3;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_37.297, 6;
    %jmp/1 T_37.297, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.298, 6;
    %jmp/1 T_37.298, 6;
    %jmp T_37.299;
    %jmp T_37.299;
T_37.295 ;
T_37.295 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009792C8_0, 0, 8;
    %assign/v0 v0106A3F8_0, 0, 8;
    %jmp T_37.299;
    %jmp T_37.299;
T_37.296 ;
T_37.296 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009792C8_0, 0, 8;
    %assign/v0 v0106A3F8_0, 0, 8;
    %jmp T_37.299;
    %jmp T_37.299;
T_37.297 ;
T_37.297 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F28_0, 0, 8;
    %assign/v0 v0106A030_0, 0, 8;
    %jmp T_37.299;
    %jmp T_37.299;
T_37.298 ;
T_37.298 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979480_0, 0, 8;
    %assign/v0 v0106A9E0_0, 0, 8;
    %jmp T_37.299;
    %jmp T_37.299;
T_37.299 ;
T_37.299 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.71 ;
T_37.71 ;
    %load/v 8, v0097A190_0, 16;
    %load/v 8, v0106B6F0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %movi 8, 52, 6;
    %movi 8, 52, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979088_0, 0, 1;
    %assign/v0 v0106A1E8_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.72 ;
T_37.72 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 1;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 53, 6;
    %movi 8, 53, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.73 ;
T_37.73 ;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_37.300, 6;
    %jmp/1 T_37.300, 6;
    %cmpi/u 8, 6, 3;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_37.301, 6;
    %jmp/1 T_37.301, 6;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
Line 9307... Line 9319...
    %jmp/1 T_37.305, 6;
    %jmp/1 T_37.305, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.306, 6;
    %jmp/1 T_37.306, 6;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.300 ;
T_37.300 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009796E8_0, 0, 8;
    %assign/v0 v0106AC48_0, 0, 8;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.301 ;
T_37.301 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979320_0, 0, 8;
    %assign/v0 v0106A450_0, 0, 8;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.302 ;
T_37.302 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979320_0, 0, 8;
    %assign/v0 v0106A450_0, 0, 8;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.303 ;
T_37.303 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979320_0, 0, 8;
    %assign/v0 v0106A450_0, 0, 8;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.304 ;
T_37.304 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.305 ;
T_37.305 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978F80_0, 0, 8;
    %assign/v0 v0106A088_0, 0, 8;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.306 ;
T_37.306 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009794D8_0, 0, 8;
    %assign/v0 v0106AA38_0, 0, 8;
    %jmp T_37.307;
    %jmp T_37.307;
T_37.307 ;
T_37.307 ;
    %load/v 8, v00979B60_0, 6;
    %load/v 8, v0106B0C0_0, 6;
    %mov 14, 0, 3;
    %mov 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %cmpi/u 8, 27, 9;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v009786E8_0, 1;
    %load/v 9, v010697F0_0, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_37.308, 8;
    %jmp/0xz  T_37.308, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009799A8_0, 0, 1;
    %assign/v0 v0106AF08_0, 0, 1;
T_37.308 ;
T_37.308 ;
    %load/v 8, v00979B60_0, 6;
    %load/v 8, v0106B0C0_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.74 ;
T_37.74 ;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v0106A088_0, 8;
 
    %load/v 16, v0106A030_0, 8;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v01069E78_0, 0, 8;
 
    %movi 8, 55, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0106B7F8_0, 0, 8;
 
    %load/v 8, v0106A0E0_0, 1;
 
    %inv 8, 1;
 
    %jmp/0xz  T_37.310, 8;
 
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.310, 6;
    %jmp/1 T_37.312, 6;
    %load/v 8, v00979DC8_0, 1;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v00979E78_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v00979ED0_0, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.313, 8;
 
    %load/v 8, v0097A240_0, 16;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v00978D70_0, 0, 8;
 
    %ix/load 0, 1, 0;
 
    %assign/v0 v009790E0_0, 0, 1;
 
    %jmp T_37.314;
 
T_37.313 ;
 
    %load/v 8, v00978F80_0, 8;
 
    %load/v 16, v00978F28_0, 8;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v00978D70_0, 0, 8;
 
T_37.314 ;
 
    %jmp T_37.312;
 
T_37.310 ;
 
    %load/v 8, v00979190_0, 1;
 
    %jmp/0xz  T_37.315, 8;
    %jmp/0xz  T_37.315, 8;
    %load/v 8, v00979320_0, 8;
    %load/v 8, v0106B7A0_0, 16;
    %load/v 16, v009792C8_0, 8;
 
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
 
    %ix/load 0, 1, 0;
 
    %assign/v0 v0106A240_0, 0, 1;
    %jmp T_37.316;
    %jmp T_37.316;
T_37.315 ;
T_37.315 ;
    %load/v 8, v0097A0E0_0, 16;
    %load/v 8, v0106A088_0, 8;
 
    %load/v 16, v0106A030_0, 8;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
T_37.316 ;
T_37.316 ;
    %jmp T_37.312;
    %jmp T_37.314;
T_37.312 ;
T_37.312 ;
    %load/v 8, v00979030_0, 1;
    %load/v 8, v0106A2F0_0, 1;
    %load/v 9, v00978BB8_0, 1;
    %jmp/0xz  T_37.317, 8;
 
    %load/v 8, v0106A450_0, 8;
 
    %load/v 16, v0106A3F8_0, 8;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v01069E78_0, 0, 8;
 
    %jmp T_37.318;
 
T_37.317 ;
 
    %load/v 8, v0106B640_0, 16;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v01069E78_0, 0, 8;
 
T_37.318 ;
 
    %jmp T_37.314;
 
T_37.314 ;
 
    %load/v 8, v0106A190_0, 1;
 
    %load/v 9, v01069CC0_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v00979690_0, 4;
    %load/v 9, v0106ABF0_0, 4;
   %cmpi/u 9, 8, 4;
   %cmpi/u 9, 8, 4;
    %mov 9, 5, 1;
    %mov 9, 5, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.317, 8;
    %inv 8, 1;
    %movi 8, 55, 6;
    %jmp/0xz  T_37.319, 8;
    %ix/load 0, 6, 0;
 
    %assign/v0 v0097AAC8_0, 0, 8;
 
    %jmp T_37.318;
 
T_37.317 ;
 
    %movi 8, 58, 6;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
T_37.318 ;
T_37.319 ;
 
T_37.310 ;
 
    %ix/load 0, 1, 0;
 
    %assign/v0 v0106A190_0, 0, 0;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979030_0, 0, 0;
    %assign/v0 v0106A0E0_0, 0, 0;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.75 ;
T_37.75 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 1;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 56, 6;
    %movi 8, 56, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.76 ;
T_37.76 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v009792C8_0, 0, 8;
    %assign/v0 v0106A3F8_0, 0, 8;
    %movi 8, 58, 6;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00978D70_0, 16;
    %load/vp0 8, v01069E78_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %load/v 8, v00979DC8_0, 1;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v00979E78_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v00979ED0_0, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.319, 8;
    %jmp/0xz  T_37.321, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009790E0_0, 0, 1;
    %assign/v0 v0106A240_0, 0, 1;
T_37.319 ;
T_37.321 ;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.77 ;
T_37.77 ;
    %load/v 8, v00979DC8_0, 1;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v00979E78_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v00979ED0_0, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.321, 8;
    %jmp/0xz  T_37.323, 8;
    %load/v 8, v0097A240_0, 16;
    %load/v 8, v0106B7A0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009790E0_0, 0, 1;
    %assign/v0 v0106A240_0, 0, 1;
T_37.321 ;
T_37.323 ;
    %movi 8, 58, 6;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.78 ;
T_37.78 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E20_0, 0, 1;
    %assign/v0 v01069F28_0, 0, 1;
    %movi 8, 59, 6;
    %movi 8, 59, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.79 ;
T_37.79 ;
    %load/v 8, v00977E20_0, 8;
    %load/v 8, v01068F28_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00979320_0, 0, 8;
    %assign/v0 v0106A450_0, 0, 8;
    %load/v 8, v00979DC8_0, 1;
    %load/v 8, v0106B328_0, 1;
    %load/v 9, v00979E78_0, 1;
    %load/v 9, v0106B3D8_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v00979ED0_0, 1;
    %load/v 9, v0106B430_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.323, 8;
    %jmp/0xz  T_37.325, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009798F8_0, 0, 1;
    %assign/v0 v0106AE58_0, 0, 1;
T_37.323 ;
T_37.325 ;
    %load/v 8, v00979AB0_0, 6;
    %load/v 8, v0106B010_0, 6;
    %mov 14, 0, 3;
    %mov 14, 0, 3;
    %cmpi/u 8, 8, 9;
    %cmpi/u 8, 8, 9;
    %jmp/0xz  T_37.325, 4;
    %jmp/0xz  T_37.327, 4;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v009799A8_0, 0, 1;
    %assign/v0 v0106AF08_0, 0, 1;
T_37.325 ;
T_37.327 ;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.327, 6;
    %jmp/1 T_37.329, 6;
    %load/v 8, v00979AB0_0, 6;
    %load/v 8, v0106B010_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.329;
    %jmp T_37.331;
T_37.327 ;
T_37.329 ;
    %load/v 8, v00979190_0, 1;
    %load/v 8, v0106A2F0_0, 1;
    %load/v 9, v00978798_0, 1;
    %load/v 9, v010698A0_0, 1;
    %inv 9, 1;
    %inv 9, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_37.330, 8;
    %jmp/0xz  T_37.332, 8;
    %load/v 8, v00979AB0_0, 6;
    %load/v 8, v0106B010_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.331;
    %jmp T_37.333;
T_37.330 ;
T_37.332 ;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979190_0, 0, 1;
    %assign/v0 v0106A2F0_0, 0, 1;
 
T_37.333 ;
 
    %jmp T_37.331;
T_37.331 ;
T_37.331 ;
    %jmp T_37.329;
 
T_37.329 ;
 
    %jmp T_37.84;
    %jmp T_37.84;
T_37.80 ;
T_37.80 ;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.332, 6;
    %jmp/1 T_37.334, 6;
    %load/v 8, v00978F80_0, 8;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v00978F28_0, 8;
    %load/v 16, v0106A030_0, 8;
    %ix/load 0, 16, 0;
 
    %assign/v0 v00978D70_0, 0, 8;
 
    %jmp T_37.334;
 
T_37.332 ;
 
    %load/v 8, v0097A0E0_0, 16;
 
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.334;
    %jmp T_37.336;
T_37.334 ;
T_37.334 ;
 
    %load/v 8, v0106B640_0, 16;
 
    %ix/load 0, 16, 0;
 
    %assign/v0 v01069E78_0, 0, 8;
 
    %jmp T_37.336;
 
T_37.336 ;
    %ix/load 1, 8, 0;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_37.335, 4;
    %jmp/1 T_37.337, 4;
    %load/x1p 8, v009782C8_0, 8;
    %load/x1p 8, v010693A0_0, 8;
    %jmp T_37.336;
    %jmp T_37.338;
T_37.335 ;
T_37.337 ;
    %mov 8, 2, 8;
    %mov 8, 2, 8;
T_37.336 ;
T_37.338 ;
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978DC8_0, 0, 8;
    %assign/v0 v01069ED0_0, 0, 8;
    %movi 8, 61, 6;
    %movi 8, 61, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E78_0, 0, 1;
    %assign/v0 v01069F80_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.81 ;
T_37.81 ;
    %movi 8, 62, 6;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v00978D70_0, 16;
    %load/vp0 8, v01069E78_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.82 ;
T_37.82 ;
    %load/v 8, v00978690_0, 1;
    %load/v 8, v01069798_0, 1;
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_37.337, 8;
    %jmp/0xz  T_37.339, 8;
    %load/v 8, v00978B08_0, 3;
    %load/v 8, v01069C10_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_37.339, 6;
    %jmp/1 T_37.341, 6;
    %load/v 8, v00978F80_0, 8;
    %load/v 8, v0106A088_0, 8;
    %load/v 16, v00978F28_0, 8;
    %load/v 16, v0106A030_0, 8;
    %ix/load 0, 16, 0;
 
    %assign/v0 v00978D70_0, 0, 8;
 
    %jmp T_37.341;
 
T_37.339 ;
 
    %load/v 8, v0097A0E0_0, 16;
 
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v00978D70_0, 0, 8;
    %assign/v0 v01069E78_0, 0, 8;
    %jmp T_37.341;
    %jmp T_37.343;
T_37.341 ;
T_37.341 ;
T_37.337 ;
    %load/v 8, v0106B640_0, 16;
    %load/v 8, v009782C8_0, 8; Only need 8 of 16 bits
    %ix/load 0, 16, 0;
 
    %assign/v0 v01069E78_0, 0, 8;
 
    %jmp T_37.343;
 
T_37.343 ;
 
T_37.339 ;
 
    %load/v 8, v010693A0_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v00978DC8_0, 0, 8;
    %assign/v0 v01069ED0_0, 0, 8;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 1;
    %assign/v0 v0106B7F8_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00978E78_0, 0, 1;
    %assign/v0 v01069F80_0, 0, 1;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.83 ;
T_37.83 ;
    %load/v 8, v009788F8_0, 1;
    %load/v 8, v01069A00_0, 1;
    %load/v 9, v00978B08_0, 3;
    %load/v 9, v01069C10_0, 3;
    %cmpi/u 9, 4, 3;
    %cmpi/u 9, 4, 3;
    %mov 9, 4, 1;
    %mov 9, 4, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v00979A00_0, 0, 8;
    %assign/v0 v0106AF60_0, 0, 8;
    %load/v 8, v00979AB0_0, 6;
    %load/v 8, v0106B010_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0097AAC8_0, 0, 8;
    %assign/v0 v0106B7F8_0, 0, 8;
    %jmp T_37.84;
    %jmp T_37.84;
T_37.84 ;
T_37.84 ;
T_37.1 ;
T_37.1 ;
    %jmp T_37;
    %jmp T_37;
    .thread T_37;
    .thread T_37;
    .scope S_007E41E0;
    .scope S_00B18D40;
T_38 ;
T_38 ;
    %set/v v00979240_0, 0, 3;
    %set/v v0106A3A0_0, 0, 3;
    %set/v v00978E20_0, 0, 1;
    %set/v v01069F28_0, 0, 1;
    %set/v v00978E78_0, 0, 1;
    %set/v v01069F80_0, 0, 1;
    %set/v v009793D0_0, 1, 16;
    %set/v v0106A930_0, 1, 16;
    %set/v v00979A58_0, 0, 1;
    %set/v v0106AFB8_0, 0, 1;
    %set/v v00979950_0, 0, 1;
    %set/v v0106AEB0_0, 0, 1;
    %set/v v00979378_0, 0, 1;
    %set/v v0106A4A8_0, 0, 1;
    %set/v v009798F8_0, 0, 1;
    %set/v v0106AE58_0, 0, 1;
    %set/v v00979190_0, 0, 1;
    %set/v v0106A2F0_0, 0, 1;
    %end;
    %end;
    .thread T_38;
    .thread T_38;
    .scope S_007E4268;
    .scope S_00B18DC8;
T_39 ;
T_39 ;
    %wait E_007C3F38;
    %wait E_00AF1C58;
    %ix/getv 3, v007E1C28_0;
    %ix/getv 3, v00B12930_0;
    %load/av 8, v007E1D88, 8;
    %load/av 8, v00B12A90, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v007E1D30_0, 0, 8;
    %assign/v0 v00B12A38_0, 0, 8;
    %jmp T_39;
    %jmp T_39;
    .thread T_39;
    .thread T_39;
    .scope S_007E4268;
    .scope S_00B18DC8;
T_40 ;
T_40 ;
    %wait E_007C3A18;
    %wait E_00AF1738;
    %load/v 8, v007E1C80_0, 8;
    %load/v 8, v00B12988_0, 8;
    %ix/getv 3, v007E1C28_0;
    %ix/getv 3, v00B12930_0;
    %jmp/1 t_0, 4;
    %jmp/1 t_0, 4;
    %ix/load 0, 8, 0; word width
    %ix/load 0, 8, 0; word width
    %ix/load 1, 0, 0; part off
    %ix/load 1, 0, 0; part off
    %assign/av v007E1D88, 0, 8;
    %assign/av v00B12A90, 0, 8;
t_0 ;
t_0 ;
    %vpi_call 2 73 "$display", "W %04x = %02x %t", v007E1C28_0, v007E1C80_0, $time;
    %vpi_call 2 86 "$display", "W %04x = %02x %t", v00B12930_0, v00B12988_0, $time;
    %jmp T_40;
    %jmp T_40;
    .thread T_40;
    .thread T_40;
    .scope S_007E4268;
    .scope S_00B18DC8;
T_41 ;
T_41 ;
    %wait E_007C3F38;
    %wait E_00AF1C58;
    %load/v 8, v007E1C28_0, 16;
    %vpi_call 2 91 "$display", "R %04x = %02x %t", v00B12930_0, &A, $time;
    %cmpi/u 8, 3, 16;
 
    %jmp/0xz  T_41.0, 4;
 
    %vpi_call 2 80 "$display", "*** Error ***";
 
    %vpi_call 2 81 "$finish";
 
T_41.0 ;
 
    %load/v 8, v007E1C28_0, 16;
 
    %cmpi/u 8, 4096, 16;
 
    %jmp/0xz  T_41.2, 4;
 
    %vpi_call 2 85 "$display", "\000";
 
    %vpi_call 2 86 "$display", "*** All tests  OOOO   K  K ***";
 
    %vpi_call 2 87 "$display", "*** All tests O    O  K K  ***";
 
    %vpi_call 2 88 "$display", "*** All tests O    O  KK   ***";
 
    %vpi_call 2 89 "$display", "*** All tests O    O  K K  ***";
 
    %vpi_call 2 90 "$display", "*** All tests  OOOO   K  K ***";
 
    %vpi_call 2 91 "$display", "\000";
 
    %vpi_call 2 92 "$finish";
 
T_41.2 ;
 
    %vpi_call 2 94 "$display", "R %04x = %02x %t", v007E1C28_0, &A, $time;
 
    %jmp T_41;
    %jmp T_41;
    .thread T_41;
    .thread T_41;
    .scope S_007E4268;
    .scope S_00B18DC8;
T_42 ;
T_42 ;
    %vpi_call 2 100 "$readmemh", "test09.hex", v007E1D88;
    %vpi_call 2 99 "$readmemh", "instructions_test.hex", v00B12A90;
    %vpi_call 2 101 "$display", "test09.hex read";
    %vpi_call 2 100 "$display", "instructions_test.hex read";
   %ix/load 1, 0, 0;
   %ix/load 1, 0, 0;
   %ix/load 3, 65534, 0;
   %ix/load 3, 65534, 0;
   %set/av v007E1D88, 0, 8;
   %set/av v00B12A90, 0, 8;
   %ix/load 1, 0, 0;
   %ix/load 1, 0, 0;
   %ix/load 3, 65535, 0;
   %ix/load 3, 65535, 0;
   %set/av v007E1D88, 0, 8;
   %set/av v00B12A90, 0, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65532, 0;
 
   %set/av v00B12A90, 0, 8;
 
    %movi 8, 113, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65533, 0;
 
   %set/av v00B12A90, 8, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65530, 0;
 
   %set/av v00B12A90, 0, 8;
 
    %movi 8, 113, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65531, 0;
 
   %set/av v00B12A90, 8, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65528, 0;
 
   %set/av v00B12A90, 0, 8;
 
    %movi 8, 113, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65529, 0;
 
   %set/av v00B12A90, 8, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65526, 0;
 
   %set/av v00B12A90, 0, 8;
 
    %movi 8, 113, 8;
 
   %ix/load 1, 0, 0;
 
   %ix/load 3, 65527, 0;
 
   %set/av v00B12A90, 8, 8;
    %end;
    %end;
    .thread T_42;
    .thread T_42;
    .scope S_007E47B8;
    .scope S_00B19318;
T_43 ;
T_43 ;
    %delay 5, 0;
    %delay 5, 0;
    %load/v 8, v0097ABD0_0, 1;
    %load/v 8, v0106B930_0, 1;
    %inv 8, 1;
    %inv 8, 1;
    %set/v v0097ABD0_0, 8, 1;
    %set/v v0106B930_0, 8, 1;
    %jmp T_43;
    %jmp T_43;
    .thread T_43;
    .thread T_43;
    .scope S_007E47B8;
    .scope S_00B19318;
T_44 ;
T_44 ;
    %vpi_call 2 37 "$dumpvars";
    %vpi_call 2 37 "$dumpvars";
    %set/v v0097ABD0_0, 0, 1;
    %set/v v0106B930_0, 0, 1;
    %set/v v0097AD88_0, 1, 1;
    %set/v v0106BBF0_0, 1, 1;
 
    %set/v v0106BAE8_0, 1, 1;
 
    %set/v v0106BA90_0, 1, 1;
 
    %set/v v0106BB40_0, 1, 1;
    %delay 0, 0;
    %delay 0, 0;
    %delay 46, 0;
    %delay 46, 0;
    %set/v v0097AD88_0, 0, 1;
    %set/v v0106BBF0_0, 0, 1;
    %delay 111500, 0;
    %delay 500, 0;
    %vpi_call 2 44 "$finish";
    %set/v v0106BB40_0, 0, 1;
 
    %delay 22, 0;
 
    %set/v v0106BB40_0, 1, 1;
 
    %delay 1000, 0;
 
    %set/v v0106BA90_0, 0, 1;
 
    %delay 22, 0;
 
    %set/v v0106BA90_0, 1, 1;
 
    %delay 1000, 0;
 
    %delay 10000, 0;
 
    %vpi_call 2 57 "$finish";
    %end;
    %end;
    .thread T_44;
    .thread T_44;
# The file index is used to find the file name in the following table.
# The file index is used to find the file name in the following table.
:file_names 7;
:file_names 7;
    "N/A";
    "N/A";
    "";
    "";
    "tb_opcodes.v";
    "tb_irq.v";
    "../rtl/verilog/MC6809_cpu.v";
    "../rtl/verilog/MC6809_cpu.v";
    "../rtl/verilog/alu16.v";
    "../rtl/verilog/alu16.v";
    "../rtl/verilog/regblock.v";
    "../rtl/verilog/regblock.v";
    "../rtl/verilog/decoders.v";
    "../rtl/verilog/decoders.v";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.