OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_1/] [rtl/] [verilog/] [oc8051_multiply.v] - Diff between revs 5 and 20

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 20
Line 45... Line 45...
// ver: 1
// ver: 1
//
//
// ver: 2 markom
// ver: 2 markom
// changed to two cycle multiplication, to save resources and
// changed to two cycle multiplication, to save resources and
// increase speed
// increase speed
 
//
 
// ver: 3 markom
 
// changed to four cycle multiplication, to save resources and
 
// increase speed
 
 
// synopsys translate_off
// synopsys translate_off
`include "oc8051_timescale.v"
`include "oc8051_timescale.v"
// synopsys translate_on
// synopsys translate_on
 
 
Line 73... Line 77...
 
 
// wires
// wires
wire [15:0] mul_result1, mul_result;
wire [15:0] mul_result1, mul_result;
 
 
// real registers
// real registers
reg cycle;
reg [1:0] cycle;
reg [11:0] tmp_mul;
reg [13:0] tmp_mul;
 
 
 
assign mul_result1 = src1 * (cycle == 0 ? src2[7:6]
 
                           : cycle == 1 ? src2[5:4]
 
                           : cycle == 2 ? src2[3:2]
 
                           : src2[1:0]);
 
 
assign mul_result1 = src1 * (cycle ? src2[7:4] : src2[3:0]);
assign mul_result = mul_result1 + {2'b0, tmp_mul};
assign mul_result = mul_result1 + {4'b0, tmp_mul};
 
assign des1 = mul_result[7:0];
assign des1 = mul_result[7:0];
assign des2 = mul_result[15:8];
assign des2 = mul_result[15:8];
assign desOv = des2 != 8'h0;
assign desOv = des2 != 8'h0;
 
 
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
begin
begin
  if (rst) begin
  if (rst) begin
    cycle <= #1 1'b0;
    cycle <= #1 1'b0;
    tmp_mul <= #1 12'b0;
    tmp_mul <= #1 14'b0;
  end else begin
  end else begin
    if (enable && !cycle) cycle <= #1 1'b1;
    if (enable || cycle != 0) cycle <= #1 cycle + 2'b1;
    else cycle <= #1 1'b0;
    tmp_mul <= #1 mul_result1[13:0];
    tmp_mul <= #1 mul_result1[11:0];
 
  end
  end
end
end
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.