OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_1/] [rtl/] [verilog/] [oc8051_top.v] - Diff between revs 118 and 120

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 118 Rev 120
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.22  2003/04/09 16:24:04  simont
 
// change wr_sft to 2 bit wire.
 
//
// Revision 1.21  2003/04/09 15:49:42  simont
// Revision 1.21  2003/04/09 15:49:42  simont
// Register oc8051_sfr dato output, add signal wait_data.
// Register oc8051_sfr dato output, add signal wait_data.
//
//
// Revision 1.20  2003/04/03 19:13:28  simont
// Revision 1.20  2003/04/03 19:13:28  simont
// Include instruction cache.
// Include instruction cache.
Line 78... Line 81...
// synopsys translate_on
// synopsys translate_on
 
 
 
 
module oc8051_top (wb_rst_i, wb_clk_i,
module oc8051_top (wb_rst_i, wb_clk_i,
//interface to instruction rom
//interface to instruction rom
                wbi_adr_o, wbi_dat_i, wbi_stb_o, wbi_ack_i, wbi_cyc_o, wbi_err_i,
                wbi_adr_o,
 
                wbi_dat_i,
 
                wbi_stb_o,
 
                wbi_ack_i,
 
                wbi_cyc_o,
 
                wbi_err_i,
 
 
//interface to data ram
//interface to data ram
                wbd_dat_i, wbd_dat_o,
                wbd_dat_i,
                wbd_adr_o, wbd_we_o, wbd_ack_i, wbd_stb_o, wbd_cyc_o, wbd_err_i,
                wbd_dat_o,
 
                wbd_adr_o,
 
                wbd_we_o,
 
                wbd_ack_i,
 
                wbd_stb_o,
 
                wbd_cyc_o,
 
                wbd_err_i,
 
 
// interrupt interface
// interrupt interface
                int0_i, int1_i,
                int0_i,
 
                int1_i,
 
 
// external access (active low)
// external access (active low)
                ea_in,
                ea_in,
 
 
// port interface
// port interface
                p0_i, p1_i, p2_i, p3_i,
  `ifdef OC8051_PORTS
                p0_o, p1_o, p2_o, p3_o,
        `ifdef OC8051_PORT0
 
                p0_i,
 
                p0_o,
 
        `endif
 
 
 
        `ifdef OC8051_PORT1
 
                p1_i,
 
                p1_o,
 
        `endif
 
 
 
        `ifdef OC8051_PORT2
 
                p2_i,
 
                p2_o,
 
        `endif
 
 
 
        `ifdef OC8051_PORT3
 
                p3_i,
 
                p3_o,
 
        `endif
 
  `endif
 
 
// serial interface
// serial interface
 
        `ifdef OC8051_UART
                rxd_i, txd_o,
                rxd_i, txd_o,
 
        `endif
 
 
// counter interface
// counter interface
                t0_i, t1_i, t2_i, t2ex_i);
        `ifdef OC8051_TC01
 
                t0_i, t1_i,
 
        `endif
 
 
 
        `ifdef OC8051_TC2
 
                t2_i, t2ex_i
 
        `endif
 
                );
 
 
 
 
 
 
input         wb_rst_i,         // reset input
input         wb_rst_i,         // reset input
              wb_clk_i,         // clock input
              wb_clk_i,         // clock input
              int0_i,           // interrupt 0
              int0_i,           // interrupt 0
              int1_i,           // interrupt 1
              int1_i,           // interrupt 1
              ea_in,            // external access
              ea_in,            // external access
              rxd_i,            // receive
 
              t0_i,             // counter 0 input
 
              t1_i,             // counter 1 input
 
              wbd_ack_i,        // data acknowalge
              wbd_ack_i,        // data acknowalge
              wbi_ack_i,        // instruction acknowlage
              wbi_ack_i,        // instruction acknowlage
              wbd_err_i,        // data error
              wbd_err_i,        // data error
              wbi_err_i,        // instruction error
              wbi_err_i;        // instruction error
              t2_i,             // counter 2 input
 
              t2ex_i;           // ???
input [7:0]   wbd_dat_i; // ram data input
 
 
input [7:0]   wbd_dat_i, // ram data input
 
              p0_i,             // port 0 input
 
              p1_i,             // port 1 input
 
              p2_i,             // port 2 input
 
              p3_i;             // port 3 input
 
input [31:0]  wbi_dat_i; // rom data input
input [31:0]  wbi_dat_i; // rom data input
 
 
output        wbd_we_o,         // data write enable
output        wbd_we_o,         // data write enable
              txd_o,            // transnmit
 
              wbd_stb_o,        // data strobe
              wbd_stb_o,        // data strobe
              wbd_cyc_o,        // data cycle
              wbd_cyc_o,        // data cycle
              wbi_stb_o,        // instruction strobe
              wbi_stb_o,        // instruction strobe
              wbi_cyc_o;        // instruction cycle
              wbi_cyc_o;        // instruction cycle
 
 
output [7:0]  wbd_dat_o, // data output
output [7:0]  wbd_dat_o; // data output
              p0_o,             // port 0 output
 
              p1_o,             // port 1 output
 
              p2_o,             // port 2 output
 
              p3_o;             // port 3 output
 
 
 
output [15:0] wbd_adr_o, // data address
output [15:0] wbd_adr_o, // data address
              wbi_adr_o;        // instruction address
              wbi_adr_o;        // instruction address
 
 
 
`ifdef OC8051_PORTS
 
 
 
`ifdef OC8051_PORT0
 
input  [7:0]  p0_i;              // port 0 input
 
output [7:0]  p0_o;              // port 0 output
 
`endif
 
 
 
`ifdef OC8051_PORT1
 
input  [7:0]  p1_i;              // port 1 input
 
output [7:0]  p1_o;              // port 1 output
 
`endif
 
 
 
`ifdef OC8051_PORT2
 
input  [7:0]  p2_i;              // port 2 input
 
output [7:0]  p2_o;              // port 2 output
 
`endif
 
 
 
`ifdef OC8051_PORT3
 
input  [7:0]  p3_i;              // port 3 input
 
output [7:0]  p3_o;              // port 3 output
 
`endif
 
 
 
`endif
 
 
 
 
wire [7:0] op1_i, op2_i, op3_i, dptr_hi, dptr_lo, ri, rn_mem, data_out;
 
wire [7:0] op1, op2, op3;
 
wire [7:0] acc, p0_out, p1_out, p2_out, p3_out;
 
wire [7:0] sp, sp_w;
 
 
`ifdef OC8051_UART
 
input         rxd_i;            // receive
 
output        txd_o;            // transnmit
 
`endif
 
 
 
`ifdef OC8051_TC01
 
input         t0_i,             // counter 0 input
 
              t1_i;             // counter 1 input
 
`endif
 
 
 
`ifdef OC8051_TC2
 
input         t2_i,             // counter 2 input
 
              t2ex_i;           //
 
`endif
 
 
 
wire [7:0]  op1_i,
 
            op2_i,
 
            op3_i,
 
            dptr_hi,
 
            dptr_lo,
 
            ri,
 
            rn_mem,
 
            data_out,
 
            op1,
 
            op2,
 
            op3,
 
            acc,
 
            p0_out,
 
            p1_out,
 
            p2_out,
 
            p3_out,
 
            sp,
 
            sp_w;
 
 
wire [15:0] pc;
wire [15:0] pc;
 
 
assign wbd_cyc_o = wbd_stb_o;
assign wbd_cyc_o = wbd_stb_o;
//assign wbi_cyc_o = wbi_stb_o;
 
 
 
//
 
// ram_rd_sel    ram read (internal)
 
// ram_wr_sel    ram write (internal)
 
// src_sel1, src_sel2    from decoder to register
 
wire src_sel3;
wire src_sel3;
wire [1:0] wr_sfr;
wire [1:0] wr_sfr;
wire [2:0] ram_rd_sel, ram_wr_sel;
wire [2:0]  ram_rd_sel,  // ram read
wire [2:0] src_sel2, src_sel1;
            ram_wr_sel, // ram write
 
            src_sel2,
//
            src_sel1;
// wr_addr       ram write addres
 
// ram_out       data from ram
wire [7:0]  ram_data,
// rd_addr       data ram read addres
            ram_out,    //data from ram
// rd_addr_r     data ram read addres registerd
            sfr_out,
wire [7:0] ram_data, ram_out, sfr_out, wr_dat;
            wr_dat,
wire [7:0] wr_addr, rd_addr;
            wr_addr,    //ram write addres
 
            rd_addr;    //data ram read addres
wire sfr_bit;
wire sfr_bit;
 
 
 
wire [1:0]  cy_sel,      //carry select; from decoder to cy_selct1
//
            bank_sel;
// cy_sel       carry select; from decoder to cy_selct1
wire        rom_addr_sel,       //rom addres select; alu or pc
// rom_addr_sel rom addres select; alu or pc
            rmw,
// ext_adddr_sel        external addres select; data pointer or Ri
            ea_int;
// write_p      output from decoder; write to external ram, go to register;
 
wire [1:0] cy_sel, bank_sel;
wire        reti,
wire rom_addr_sel, rmw, ea_int;
            intr,
 
            int_ack,
//
            istb;
// int_uart     interrupt from uart
 
// tf0          interrupt from t/c 0
 
// tf1          interrupt from t/c 1
 
// tr0          timer 0 run
 
// tr1          timer 1 run
 
wire reti, intr, int_ack, istb;
 
wire [7:0] int_src;
wire [7:0] int_src;
 
 
//
 
//alu_op        alu operation (from decoder)
 
//psw_set       write to psw or not; from decoder to psw (through register)
 
wire mem_wait;
wire mem_wait;
wire [2:0] mem_act;
wire [2:0] mem_act;
wire [3:0] alu_op;
wire [3:0]  alu_op;      //alu operation (from decoder)
wire [1:0] psw_set;
wire [1:0]  psw_set;    //write to psw or not; from decoder to psw (through register)
 
 
//
 
// immediate1_r         from imediate_sel1 to alu_src1_sel1
 
// immediate2_r         from imediate_sel1 to alu_src2_sel1
 
// src1. src2, src2     alu sources
 
// des2, des2           alu destinations
 
// des1_r               destination 1 registerd (to comp1)
 
// desCy                carry out
 
// desAc
 
// desOv                overflow
 
// wr                   write to data ram
 
wire [7:0] src1, src2, des1, des2, des1_r;
 
wire [7:0] src3;
 
wire desCy, desAc, desOv, alu_cy, wr, wr_o;
 
 
 
 
 
//
wire [7:0]  src1,        //alu sources 1
// rd           read program rom
            src2,       //alu sources 2
// pc_wr_sel    program counter write select (from decoder to pc)
            src3,       //alu sources 3
wire rd, pc_wr;
            des1,       //alu destination 1
wire [2:0] pc_wr_sel;
            des2,       //alu destinations 2
 
            des1_r;     //destination 1 registerd (to comp1)
//
wire        desCy,      //carry out
// op1_n                from op_select to decoder
            desAc,
// op2_n,         output of op_select, to immediate_sel1, pc1, comp1
            desOv,      //overflow
// op3_n,         output of op_select, to immediate_sel1, ram_wr_sel1
            alu_cy,
// op2_dr,      output of op_select, to ram_rd_sel1, ram_wr_sel1
            wr,         //write to data ram
wire [7:0] op1_n, op2_n, op3_n;
            wr_o;
 
 
//
wire        rd,         //read program rom
// comp_sel     select source1 and source2 to compare
            pc_wr;
// eq           result (from comp1 to decoder)
wire [2:0]  pc_wr_sel;   //program counter write select (from decoder to pc)
wire [1:0] comp_sel;
 
wire eq, srcAc, cy, rd_ind, wr_ind;
wire [7:0]  op1_n, //from memory_interface to decoder
 
            op2_n,
 
            op3_n;
 
 
 
wire [1:0]  comp_sel;    //select source1 and source2 to compare
 
wire        eq,         //result (from comp1 to decoder)
 
            srcAc,
 
            cy,
 
            rd_ind,
 
            wr_ind;
wire [2:0] op1_cur;
wire [2:0] op1_cur;
 
 
 
wire        bit_addr,   //bit addresable instruction
//
            bit_data,   //bit data from ram to ram_select
// bit_addr     bit addresable instruction
            bit_out,    //bit data from ram_select to alu and cy_select
// bit_data     bit data from ram to ram_select
            bit_addr_o,
// bit_out      bit data from ram_select to alu and cy_select
            wait_data;
wire bit_addr, bit_data, bit_out, bit_addr_o;
 
 
 
//
//
// cpu to cache/wb_interface
// cpu to cache/wb_interface
wire        iack_i,
wire        iack_i,
            istb_o,
            istb_o,
            icyc_o;
            icyc_o;
wire [31:0] idat_i;
wire [31:0] idat_i;
wire [15:0] iadr_o;
wire [15:0] iadr_o;
wire wait_data;
 
 
 
 
 
//
//
// decoder
// decoder
oc8051_decoder oc8051_decoder1(.clk(wb_clk_i), .rst(wb_rst_i), .op_in(op1_n), .op1_c(op1_cur),
oc8051_decoder oc8051_decoder1(.clk(wb_clk_i),
     .ram_rd_sel_o(ram_rd_sel), .ram_wr_sel_o(ram_wr_sel), .bit_addr(bit_addr),
                               .rst(wb_rst_i),
     .src_sel1(src_sel1), .src_sel2(src_sel2),
                               .op_in(op1_n),
     .src_sel3(src_sel3), .alu_op_o(alu_op), .psw_set(psw_set),
                               .op1_c(op1_cur),
     .cy_sel(cy_sel), .wr_o(wr), .pc_wr(pc_wr),
                               .ram_rd_sel_o(ram_rd_sel),
     .pc_sel(pc_wr_sel), .comp_sel(comp_sel), .eq(eq),
                               .ram_wr_sel_o(ram_wr_sel),
     .wr_sfr_o(wr_sfr), .rd(rd), .rmw(rmw),
                               .bit_addr(bit_addr),
     .istb(istb), .mem_act(mem_act), .mem_wait(mem_wait),
 
 
                               .src_sel1(src_sel1),
 
                               .src_sel2(src_sel2),
 
                               .src_sel3(src_sel3),
 
 
 
                               .alu_op_o(alu_op),
 
                               .psw_set(psw_set),
 
                               .cy_sel(cy_sel),
 
                               .wr_o(wr),
 
                               .pc_wr(pc_wr),
 
                               .pc_sel(pc_wr_sel),
 
                               .comp_sel(comp_sel),
 
                               .eq(eq),
 
                               .wr_sfr_o(wr_sfr),
 
                               .rd(rd),
 
                               .rmw(rmw),
 
                               .istb(istb),
 
                               .mem_act(mem_act),
 
                               .mem_wait(mem_wait),
     .wait_data(wait_data));
     .wait_data(wait_data));
 
 
 
 
//
//
//alu
//alu
oc8051_alu oc8051_alu1(.rst(wb_rst_i), .clk(wb_clk_i), .op_code(alu_op), .rd(rd),
oc8051_alu oc8051_alu1(.rst(wb_rst_i),
     .src1(src1), .src2(src2), .src3(src3), .srcCy(alu_cy), .srcAc(srcAc),
                       .clk(wb_clk_i),
     .des1(des1), .des2(des2), .des1_r(des1_r), .desCy(desCy),
                       .op_code(alu_op),
     .desAc(desAc), .desOv(desOv), .bit_in(bit_out));
                       .rd(rd),
 
                       .src1(src1),
 
                       .src2(src2),
 
                       .src3(src3),
 
                       .srcCy(alu_cy),
 
                       .srcAc(srcAc),
 
                       .des1(des1),
 
                       .des2(des2),
 
                       .des1_r(des1_r),
 
                       .desCy(desCy),
 
                       .desAc(desAc),
 
                       .desOv(desOv),
 
                       .bit_in(bit_out));
 
 
//
//
//data ram
//data ram
oc8051_ram_top oc8051_ram_top1(.clk(wb_clk_i), .rst(wb_rst_i), .rd_addr(rd_addr), .rd_data(ram_data),
oc8051_ram_top oc8051_ram_top1(.clk(wb_clk_i),
          .wr_addr(wr_addr), .bit_addr(bit_addr_o), .wr_data(wr_dat), .wr(wr_o && (!wr_addr[7] || wr_ind)),
                               .rst(wb_rst_i),
          .bit_data_in(desCy), .bit_data_out(bit_data));
                               .rd_addr(rd_addr),
 
                               .rd_data(ram_data),
 
                               .wr_addr(wr_addr),
 
                               .bit_addr(bit_addr_o),
 
                               .wr_data(wr_dat),
 
                               .wr(wr_o && (!wr_addr[7] || wr_ind)),
 
                               .bit_data_in(desCy),
 
                               .bit_data_out(bit_data));
 
 
//
//
 
 
oc8051_alu_src_sel oc8051_alu_src_sel1(.clk(wb_clk_i), .rst(wb_rst_i), .rd(rd),
oc8051_alu_src_sel oc8051_alu_src_sel1(.clk(wb_clk_i),
     .sel1(src_sel1), .sel2(src_sel2), .sel3(src_sel3),
                                       .rst(wb_rst_i),
     .acc(acc), .ram(ram_out), .pc(pc), .dptr({dptr_hi, dptr_lo}),
                                       .rd(rd),
     .op1(op1_n), .op2(op2_n), .op3(op3_n),
 
     .src1(src1), .src2(src2), .src3(src3));
                                       .sel1(src_sel1),
 
                                       .sel2(src_sel2),
 
                                       .sel3(src_sel3),
 
 
 
                                       .acc(acc),
 
                                       .ram(ram_out),
 
                                       .pc(pc),
 
                                       .dptr({dptr_hi, dptr_lo}),
 
                                       .op1(op1_n),
 
                                       .op2(op2_n),
 
                                       .op3(op3_n),
 
 
 
                                       .src1(src1),
 
                                       .src2(src2),
 
                                       .src3(src3));
 
 
 
 
//
//
//
//
oc8051_comp oc8051_comp1(.sel(comp_sel), .eq(eq), .b_in(bit_out), .cy(cy), .acc(acc), .des(des1_r));
oc8051_comp oc8051_comp1(.sel(comp_sel),
 
                         .eq(eq),
 
                         .b_in(bit_out),
 
                         .cy(cy),
 
                         .acc(acc),
 
                         .des(des1_r));
 
 
 
 
//
//
//program rom
//program rom
oc8051_rom oc8051_rom1(.rst(wb_rst_i), .clk(wb_clk_i), .ea_int(ea_int), .addr(iadr_o),
oc8051_rom oc8051_rom1(.rst(wb_rst_i),
                .data1(op1_i), .data2(op2_i), .data3(op3_i));
                       .clk(wb_clk_i),
 
                       .ea_int(ea_int),
 
                       .addr(iadr_o),
 
                       .data1(op1_i),
 
                       .data2(op2_i),
 
                       .data3(op3_i));
 
 
//
//
//
//
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel), .cy_in(cy), .data_in(bit_out),
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel),
 
                                   .cy_in(cy),
 
                                   .data_in(bit_out),
                 .data_out(alu_cy));
                 .data_out(alu_cy));
//
//
//
//
oc8051_indi_addr oc8051_indi_addr1 (.clk(wb_clk_i), .rst(wb_rst_i), .rd_addr(rd_addr), .wr_addr(wr_addr),
oc8051_indi_addr oc8051_indi_addr1 (.clk(wb_clk_i),
      .data_in(wr_dat), .wr(wr_o), .wr_bit(bit_addr_o), .rn_out(rn_mem),
                                    .rst(wb_rst_i),
      .ri_out(ri), .sel(op1_cur), .bank(bank_sel));
                                    .rd_addr(rd_addr),
 
                                    .wr_addr(wr_addr),
 
                                    .data_in(wr_dat),
 
                                    .wr(wr_o),
 
                                    .wr_bit(bit_addr_o),
 
                                    .rn_out(rn_mem),
 
                                    .ri_out(ri),
 
                                    .sel(op1_cur),
 
                                    .bank(bank_sel));
 
 
 
 
 
 
assign icyc_o = istb_o;
assign icyc_o = istb_o;
//
//
//
//
oc8051_memory_interface oc8051_memory_interface1(.clk(wb_clk_i), .rst(wb_rst_i),
oc8051_memory_interface oc8051_memory_interface1(.clk(wb_clk_i),
 
                       .rst(wb_rst_i),
// internal ram
// internal ram
   .wr_i(wr), .wr_o(wr_o), .wr_bit_i(bit_addr), .wr_bit_o(bit_addr_o), .wr_dat(wr_dat),
                       .wr_i(wr),
   .des1(des1), .des2(des2),
                       .wr_o(wr_o),
   .rd_addr(rd_addr), .wr_addr(wr_addr),
                       .wr_bit_i(bit_addr),
 
                       .wr_bit_o(bit_addr_o),
 
                       .wr_dat(wr_dat),
 
                       .des1(des1),
 
                       .des2(des2),
 
                       .rd_addr(rd_addr),
 
                       .wr_addr(wr_addr),
   .wr_ind(wr_ind),
   .wr_ind(wr_ind),
   .bit_in(bit_data), .in_ram(ram_data),
                       .bit_in(bit_data),
   .sfr(sfr_out), .sfr_bit(sfr_bit), .bit_out(bit_out), .iram_out(ram_out),
                       .in_ram(ram_data),
 
                       .sfr(sfr_out),
 
                       .sfr_bit(sfr_bit),
 
                       .bit_out(bit_out),
 
                       .iram_out(ram_out),
 
 
// external instrauction rom
// external instrauction rom
   .iack_i(iack_i),
   .iack_i(iack_i),
   .iadr_o(iadr_o),
   .iadr_o(iadr_o),
   .idat_i(idat_i),
   .idat_i(idat_i),
   .istb_o(istb_o),
   .istb_o(istb_o),
 
 
// internal instruction rom
// internal instruction rom
   .op1_i(op1_i), .op2_i(op2_i), .op3_i(op3_i),
                       .op1_i(op1_i),
 
                       .op2_i(op2_i),
 
                       .op3_i(op3_i),
 
 
// data memory
// data memory
   .dadr_o(wbd_adr_o), .ddat_o(wbd_dat_o),
                       .dadr_o(wbd_adr_o),
   .dwe_o(wbd_we_o), .dstb_o(wbd_stb_o),
                       .ddat_o(wbd_dat_o),
   .ddat_i(wbd_dat_i), .dack_i(wbd_ack_i),
                       .dwe_o(wbd_we_o),
 
                       .dstb_o(wbd_stb_o),
 
                       .ddat_i(wbd_dat_i),
 
                       .dack_i(wbd_ack_i),
 
 
// from decoder
// from decoder
   .rd_sel(ram_rd_sel), .wr_sel(ram_wr_sel), .rn({bank_sel, op1_n[2:0]}),
                       .rd_sel(ram_rd_sel),
   .rd_ind(rd_ind), .rd(rd),
                       .wr_sel(ram_wr_sel),
   .mem_act(mem_act), .mem_wait(mem_wait),
                       .rn({bank_sel, op1_n[2:0]}),
 
                       .rd_ind(rd_ind),
 
                       .rd(rd),
 
                       .mem_act(mem_act),
 
                       .mem_wait(mem_wait),
 
 
// external access
// external access
   .ea(ea_in), .ea_int(ea_int),
                       .ea(ea_in),
 
                       .ea_int(ea_int),
 
 
// instructions outputs to cpu
// instructions outputs to cpu
   .op1_out(op1_n), .op2_out(op2_n), .op3_out(op3_n),
                       .op1_out(op1_n),
 
                       .op2_out(op2_n),
 
                       .op3_out(op3_n),
 
 
// interrupt interface
// interrupt interface
   .intr(intr), .int_v(int_src), .int_ack(int_ack), .istb(istb),
                       .intr(intr),
 
                       .int_v(int_src),
 
                       .int_ack(int_ack),
 
                       .istb(istb),
   .reti(reti),
   .reti(reti),
 
 
//pc
//pc
   .pc_wr_sel(pc_wr_sel), .pc_wr(pc_wr), .pc(pc),
                       .pc_wr_sel(pc_wr_sel),
 
                       .pc_wr(pc_wr),
 
                       .pc(pc),
 
 
// sfr's
// sfr's
   .sp_w(sp_w), .dptr({dptr_hi, dptr_lo}),
                       .sp_w(sp_w),
   .ri(ri), .rn_mem(rn_mem),
                       .dptr({dptr_hi, dptr_lo}),
   .acc(acc), .sp(sp)
                       .ri(ri),
 
                       .rn_mem(rn_mem),
 
                       .acc(acc),
 
                       .sp(sp)
   );
   );
 
 
 
 
//
//
//
//
 
 
oc8051_sfr oc8051_sfr1(.rst(wb_rst_i), .clk(wb_clk_i), .adr0(rd_addr[7:0]), .adr1(wr_addr[7:0]),
oc8051_sfr oc8051_sfr1(.rst(wb_rst_i),
       .dat0(sfr_out), .dat1(wr_dat), .dat2(des2), .we(wr_o && !wr_ind), .bit_in(desCy),
                       .clk(wb_clk_i),
       .bit_out(sfr_bit), .wr_bit(bit_addr_o), .ram_rd_sel(ram_rd_sel), .ram_wr_sel(ram_wr_sel),
                       .adr0(rd_addr[7:0]),
 
                       .adr1(wr_addr[7:0]),
 
                       .dat0(sfr_out),
 
                       .dat1(wr_dat),
 
                       .dat2(des2),
 
                       .we(wr_o && !wr_ind),
 
                       .bit_in(desCy),
 
                       .bit_out(sfr_bit),
 
                       .wr_bit(bit_addr_o),
 
                       .ram_rd_sel(ram_rd_sel),
 
                       .ram_wr_sel(ram_wr_sel),
       .wr_sfr(wr_sfr),
       .wr_sfr(wr_sfr),
// acc
// acc
       .acc(acc),
       .acc(acc),
// sp
// sp
       .sp(sp), .sp_w(sp_w),
                       .sp(sp),
 
                       .sp_w(sp_w),
// psw
// psw
       .bank_sel(bank_sel), .desAc(desAc), .desOv(desOv), .psw_set(psw_set),
                       .bank_sel(bank_sel),
       .srcAc(srcAc), .cy(cy),
                       .desAc(desAc),
 
                       .desOv(desOv),
 
                       .psw_set(psw_set),
 
                       .srcAc(srcAc),
 
                       .cy(cy),
// ports
// ports
       .rmw(rmw), .p0_out(p0_o), .p1_out(p1_o), .p2_out(p2_o), .p3_out(p3_o),
                       .rmw(rmw),
       .p0_in(p0_i), .p1_in(p1_i), .p2_in(p2_i), .p3_in(p3_i),
 
 
  `ifdef OC8051_PORTS
 
        `ifdef OC8051_PORT0
 
                       .p0_out(p0_o),
 
                       .p0_in(p0_i),
 
        `endif
 
 
 
        `ifdef OC8051_PORT1
 
                       .p1_out(p1_o),
 
                       .p1_in(p1_i),
 
        `endif
 
 
 
        `ifdef OC8051_PORT2
 
                       .p2_out(p2_o),
 
                       .p2_in(p2_i),
 
        `endif
 
 
 
        `ifdef OC8051_PORT3
 
                       .p3_out(p3_o),
 
                       .p3_in(p3_i),
 
        `endif
 
  `endif
 
 
// uart
// uart
 
        `ifdef OC8051_UART
       .rxd(rxd_i), .txd(txd_o),
       .rxd(rxd_i), .txd(txd_o),
 
        `endif
 
 
// int
// int
       .int_ack(int_ack), .intr(intr), .int0(int0_i), .int1(int1_i),
                       .int_ack(int_ack),
       .reti(reti), .int_src(int_src),
                       .intr(intr),
// t/c
                       .int0(int0_i),
       .t0(t0_i), .t1(t1_i), .t2(t2_i), .t2ex(t2ex_i),
                       .int1(int1_i),
 
                       .reti(reti),
 
                       .int_src(int_src),
 
 
 
// t/c 0,1
 
        `ifdef OC8051_TC01
 
                       .t0(t0_i),
 
                       .t1(t1_i),
 
        `endif
 
 
 
// t/c 2
 
        `ifdef OC8051_TC2
 
                       .t2(t2_i),
 
                       .t2ex(t2ex_i),
 
        `endif
 
 
// dptr
// dptr
       .dptr_hi(dptr_hi), .dptr_lo(dptr_lo),
                       .dptr_hi(dptr_hi),
       .wait_data(wait_data));
                       .dptr_lo(dptr_lo),
 
                       .wait_data(wait_data)
 
                       );
 
 
 
 
 
 
 
 
`ifdef OC8051_CACHE
`ifdef OC8051_CACHE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.