OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [bench/] [verilog/] [oc8051_tb.v] - Diff between revs 111 and 120

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 111 Rev 120
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.10  2003/04/03 19:20:55  simont
 
// Remove instruction cache and wb_interface
 
//
// Revision 1.9  2003/04/02 15:08:59  simont
// Revision 1.9  2003/04/02 15:08:59  simont
// rename signals
// rename signals
//
//
// Revision 1.8  2003/01/13 14:35:25  simont
// Revision 1.8  2003/01/13 14:35:25  simont
// remove wb_bus_mon
// remove wb_bus_mon
Line 107... Line 110...
         .wbd_ack_i(ack_i), .wbd_stb_o(stb_o), .wbd_cyc_o(cyc_o),
         .wbd_ack_i(ack_i), .wbd_stb_o(stb_o), .wbd_cyc_o(cyc_o),
 
 
         .wbi_adr_o(iadr_o), .wbi_stb_o(istb_o), .wbi_ack_i(iack_i),
         .wbi_adr_o(iadr_o), .wbi_stb_o(istb_o), .wbi_ack_i(iack_i),
         .wbi_cyc_o(icyc_o), .wbi_dat_i(idat_i), .wbi_err_i(wbi_err_i),
         .wbi_cyc_o(icyc_o), .wbi_dat_i(idat_i), .wbi_err_i(wbi_err_i),
 
 
         .p0_i(p0_in), .p1_i(p1_in), .p2_i(p2_in), .p3_i(p3_in),
  `ifdef OC8051_PORTS
         .p0_o(p0_out), .p1_o(p1_out), .p2_o(p2_out), .p3_o(p3_out),
 
 
   `ifdef OC8051_PORT0
 
         .p0_i(p0_in),
 
         .p0_o(p0_out),
 
   `endif
 
 
 
   `ifdef OC8051_PORT1
 
         .p1_i(p1_in),
 
         .p1_o(p1_out),
 
   `endif
 
 
 
   `ifdef OC8051_PORT2
 
         .p2_i(p2_in),
 
         .p2_o(p2_out),
 
   `endif
 
 
 
   `ifdef OC8051_PORT3
 
         .p3_i(p3_in),
 
         .p3_o(p3_out),
 
   `endif
 
  `endif
 
 
 
 
         .ea_in(ea[0]),
   `ifdef OC8051_UART
         .rxd_i(rxd), .txd_o(txd),
         .rxd_i(rxd), .txd_o(txd),
         .t0_i(t0), .t1_i(t1), .t2_i(t2), .t2ex_i(t2ex));
   `endif
 
 
 
   `ifdef OC8051_TC01
 
         .t0_i(t0), .t1_i(t1),
 
   `endif
 
 
 
   `ifdef OC8051_TC2
 
         .t2_i(t2), .t2ex_i(t2ex),
 
   `endif
 
 
 
         .ea_in(ea[0]));
 
 
 
 
//
//
// external data ram
// external data ram
//
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.