OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [bench/] [verilog/] [oc8051_tb.v] - Diff between revs 166 and 167

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 166 Rev 167
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.15  2003/06/05 17:14:27  simont
 
// Change test monitor from ports to external data memory.
 
//
// Revision 1.14  2003/06/05 12:54:38  simont
// Revision 1.14  2003/06/05 12:54:38  simont
// remove dumpvars.
// remove dumpvars.
//
//
// Revision 1.13  2003/06/05 11:13:39  simont
// Revision 1.13  2003/06/05 11:13:39  simont
// add FREQ paremeter.
// add FREQ paremeter.
Line 304... Line 307...
#220
#220
  rst = 1'b0;
  rst = 1'b0;
 
 
#80000000
#80000000
  $display("time ",$time, "\n faulire: end of time\n \n");
  $display("time ",$time, "\n faulire: end of time\n \n");
 
  $display("");
  $finish;
  $finish;
end
end
 
 
 
 
initial
initial
Line 321... Line 325...
begin
begin
  if ((ext_addr==16'h0010) & write & stb_o) begin
  if ((ext_addr==16'h0010) & write & stb_o) begin
    if (data_out==8'h7f) begin
    if (data_out==8'h7f) begin
      $display("");
      $display("");
      $display("time ",$time, " Passed");
      $display("time ",$time, " Passed");
 
      $display("");
      $finish;
      $finish;
 
 
    end else begin
    end else begin
      $display("");
      $display("");
      $display("time ",$time," Error: %h", data_out);
      $display("time ",$time," Error: %h", data_out);
 
      $display("");
      $finish;
      $finish;
    end
    end
  end
  end
end
end
 
 
 
 
 
initial
 
  $readmemb("../oc8051_ea.in", ea);
 
 
 
 
 
 
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.