OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_acc.v] - Diff between revs 118 and 153

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 118 Rev 153
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.12  2003/04/09 16:24:03  simont
 
// change wr_sft to 2 bit wire.
 
//
// Revision 1.11  2003/04/09 15:49:42  simont
// Revision 1.11  2003/04/09 15:49:42  simont
// Register oc8051_sfr dato output, add signal wait_data.
// Register oc8051_sfr dato output, add signal wait_data.
//
//
// Revision 1.10  2003/04/07 14:58:02  simont
// Revision 1.10  2003/04/07 14:58:02  simont
// change sfr's interface.
// change sfr's interface.
Line 122... Line 125...
    data_out <= #1 `OC8051_RST_ACC;
    data_out <= #1 `OC8051_RST_ACC;
  else
  else
    data_out <= #1 acc;
    data_out <= #1 acc;
end
end
 
 
 
 
 
`ifdef OC8051_SIMULATION
 
 
 
always @(data_out)
 
  if (data_out===8'hxx) begin
 
    $display("time ",$time, "   faulire: invalid write to ACC (oc8051_acc)");
 
#22
 
    $finish;
 
 
 
  end
 
 
 
 
 
`endif
 
 
 
 
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.