OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_memory_interface.v] - Diff between revs 121 and 128

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 121 Rev 128
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.3  2003/04/11 10:05:08  simont
 
// Change pc add value from 23'h to 16'h
 
//
// Revision 1.2  2003/04/09 16:24:03  simont
// Revision 1.2  2003/04/09 16:24:03  simont
// change wr_sft to 2 bit wire.
// change wr_sft to 2 bit wire.
//
//
// Revision 1.1  2003/01/13 14:13:12  simont
// Revision 1.1  2003/01/13 14:13:12  simont
// initial import
// initial import
Line 316... Line 319...
    imem_wait <= #1 1'b0;
    imem_wait <= #1 1'b0;
    idat_ir <= #1 24'h0;
    idat_ir <= #1 24'h0;
  end else if (iack_i) begin
  end else if (iack_i) begin
    istb_t <= #1 1'b0;
    istb_t <= #1 1'b0;
    imem_wait <= #1 1'b0;
    imem_wait <= #1 1'b0;
    idat_ir <= #1 idat_i [31:8];
    idat_ir <= #1 idat_i [23:0];
  end else if (ea_rom_sel && imem_wait) begin
  end else if (ea_rom_sel && imem_wait) begin
    imem_wait <= #1 1'b0;
    imem_wait <= #1 1'b0;
  end else if (ea_rom_sel && !imem_wait && istb_t) begin
  end else if (ea_rom_sel && !imem_wait && istb_t) begin
    istb_t <= #1 1'b0;
    istb_t <= #1 1'b0;
  end else if (mem_act==`OC8051_MAS_CODE) begin
  end else if (mem_act==`OC8051_MAS_CODE) begin
Line 406... Line 409...
assign op2_out = (rd) ? op2_o : op2_buff;
assign op2_out = (rd) ? op2_o : op2_buff;
 
 
always @(idat_i or iack_i or idat_ir or rd)
always @(idat_i or iack_i or idat_ir or rd)
begin
begin
  if (iack_i) begin
  if (iack_i) begin
    op1_xt = idat_i[31:24];
    op1_xt = idat_i[7:0];
    op2_xt = idat_i[23:16];
    op2_xt = idat_i[15:8];
    op3_xt = idat_i[15:8];
    op3_xt = idat_i[23:16];
  end else if (!rd) begin
  end else if (!rd) begin
    op1_xt = idat_ir[23:16];
    op1_xt = idat_ir[7:0];
    op2_xt = idat_ir[15:8];
    op2_xt = idat_ir[15:8];
    op3_xt = idat_ir[7:0];
    op3_xt = idat_ir[23:16];
  end else begin
  end else begin
    op1_xt = 8'h00;
    op1_xt = 8'h00;
    op2_xt = 8'h00;
    op2_xt = 8'h00;
    op3_xt = 8'h00;
    op3_xt = 8'h00;
  end
  end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.