OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_ports.v] - Diff between revs 82 and 116

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 82 Rev 116
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.7  2003/01/13 14:14:41  simont
 
// replace some modules
 
//
// Revision 1.6  2002/09/30 17:33:59  simont
// Revision 1.6  2002/09/30 17:33:59  simont
// prepared header
// prepared header
//
//
//
//
 
 
Line 55... Line 58...
// synopsys translate_on
// synopsys translate_on
 
 
`include "oc8051_defines.v"
`include "oc8051_defines.v"
 
 
 
 
module oc8051_ports (clk, rst, bit_in, data_in, wr, wr_bit, wr_addr, rd_addr, rmw, data_out, bit_out, p0_out, p1_out, p2_out, p3_out,
module oc8051_ports (clk, rst,
                     p0_in, p1_in, p2_in, p3_in);
                    bit_in, data_in,
 
                    wr, wr_bit,
 
                    wr_addr, rmw,
 
                    p0_out, p1_out, p2_out, p3_out,
 
                    p0_in, p1_in, p2_in, p3_in,
 
                    p0_data, p1_data, p2_data, p3_data);
//
//
// clk          (in)  clock
// clk          (in)  clock
// rst          (in)  reset
// rst          (in)  reset
// bit_in       (in)  bit input [oc8051_alu.desCy]
// bit_in       (in)  bit input [oc8051_alu.desCy]
// data_in      (in)  data input (from alu destiantion 1) [oc8051_alu.des1]
// data_in      (in)  data input (from alu destiantion 1) [oc8051_alu.des1]
Line 74... Line 82...
// p0_in, p1_in, p2_in, p3_in           (in)  port inputs [pin]
// p0_in, p1_in, p2_in, p3_in           (in)  port inputs [pin]
//
//
 
 
 
 
input clk, rst, wr, wr_bit, bit_in, rmw;
input clk, rst, wr, wr_bit, bit_in, rmw;
input [7:0] wr_addr, rd_addr, data_in, p0_in, p1_in, p2_in, p3_in;
input [7:0] wr_addr, data_in, p0_in, p1_in, p2_in, p3_in;
 
 
 
output [7:0] p0_out, p1_out, p2_out, p3_out;
 
output [7:0] p0_data, p1_data, p2_data, p3_data;
 
 
output bit_out;
reg [7:0] p0_out, p1_out, p2_out, p3_out;
output [7:0] data_out, p0_out, p1_out, p2_out, p3_out;
 
 
 
reg bit_out;
assign p0_data = rmw ? p0_out : p0_in;
reg [7:0] data_out, p0_out, p1_out, p2_out, p3_out;
assign p1_data = rmw ? p1_out : p1_in;
 
assign p2_data = rmw ? p2_out : p2_in;
 
assign p3_data = rmw ? p3_out : p3_in;
 
 
//
//
// case of writing to port
// case of writing to port
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
begin
begin
Line 115... Line 127...
      endcase
      endcase
    end
    end
  end
  end
end
end
 
 
//always @(p0_out or p0_in or p1_out or p1_in or p2_out or p2_in or p3_out or p3_in or rmw)
 
always @(posedge clk or posedge rst)
 
begin
 
  if (rst)
 
    data_out <= #1 8'h0;
 
  else if (rmw) begin
 
    if ((rd_addr==wr_addr) & wr & !wr_bit)
 
      data_out <= #1 data_in;
 
    else begin
 
      case (rd_addr[5:4])
 
        2'b00: data_out <= #1 p0_out;
 
        2'b01: data_out <= #1 p1_out;
 
        2'b10: data_out <= #1 p2_out;
 
        2'b11: data_out <= #1 p3_out;
 
      endcase
 
     end
 
  end else
 
    case (rd_addr[5:4])
 
      2'b00: data_out <= #1 p0_in;
 
      2'b01: data_out <= #1 p1_in;
 
      2'b10: data_out <= #1 p2_in;
 
      2'b11: data_out <= #1 p3_in;
 
    endcase
 
end
 
 
 
//always  @(rmw or rd_addr or p0_out or p1_out or p2_out or p3_out or p0_in or p1_in or p2_in or p3_in)
 
always @(posedge clk or posedge rst)
 
begin
 
  if (rst)
 
    bit_out <= #1 1'b0;
 
  else if (rmw) begin
 
    if ((wr_addr==rd_addr) & wr & wr_bit)
 
      bit_out <= #1 bit_in;
 
    else if ((wr_addr[7:3]==rd_addr[7:3]) & wr)
 
      bit_out <= #1 data_in[rd_addr[2:0]];
 
    else begin
 
      case (rd_addr[7:3])
 
        `OC8051_SFR_B_P0: bit_out <= #1 p0_out[rd_addr[2:0]];
 
        `OC8051_SFR_B_P1: bit_out <= #1 p1_out[rd_addr[2:0]];
 
        `OC8051_SFR_B_P2: bit_out <= #1 p2_out[rd_addr[2:0]];
 
        default: bit_out <= #1 p3_out[rd_addr[2:0]];
 
      endcase
 
    end
 
  end else begin
 
    case (rd_addr[7:3])
 
      `OC8051_SFR_B_P0: bit_out <= #1 p0_in[rd_addr[2:0]];
 
      `OC8051_SFR_B_P1: bit_out <= #1 p1_in[rd_addr[2:0]];
 
      `OC8051_SFR_B_P2: bit_out <= #1 p2_in[rd_addr[2:0]];
 
      default: bit_out <= #1 p3_in[rd_addr[2:0]];
 
    endcase
 
  end
 
end
 
 
 
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.