OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_ports.v] - Diff between revs 15 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 22
Line 143... Line 143...
  if (rst)
  if (rst)
    bit_out <= #1 1'b0;
    bit_out <= #1 1'b0;
  else if (rmw) begin
  else if (rmw) begin
    if ((wr_addr==rd_addr) & wr & wr_bit)
    if ((wr_addr==rd_addr) & wr & wr_bit)
      bit_out <= #1 bit_in;
      bit_out <= #1 bit_in;
 
    else if ((wr_addr[7:3]==rd_addr[7:3]) & wr & !wr_bit)
 
      bit_out <= #1 data_in[rd_addr[2:0]];
    else begin
    else begin
      case (rd_addr[7:3])
      case (rd_addr[7:3])
        `OC8051_SFR_B_P0: bit_out <= #1 p0_out[rd_addr[2:0]];
        `OC8051_SFR_B_P0: bit_out <= #1 p0_out[rd_addr[2:0]];
        `OC8051_SFR_B_P1: bit_out <= #1 p1_out[rd_addr[2:0]];
        `OC8051_SFR_B_P1: bit_out <= #1 p1_out[rd_addr[2:0]];
        `OC8051_SFR_B_P2: bit_out <= #1 p2_out[rd_addr[2:0]];
        `OC8051_SFR_B_P2: bit_out <= #1 p2_out[rd_addr[2:0]];

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.