OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [tags/] [rel_12/] [rtl/] [verilog/] [oc8051_sp.v] - Diff between revs 76 and 82

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 76 Rev 82
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.4  2002/11/05 17:23:54  simont
 
// add module oc8051_sfr, 256 bytes internal ram
 
//
// Revision 1.3  2002/09/30 17:33:59  simont
// Revision 1.3  2002/09/30 17:33:59  simont
// prepared header
// prepared header
//
//
//
//
 
 
Line 55... Line 58...
 
 
`include "oc8051_defines.v"
`include "oc8051_defines.v"
 
 
 
 
 
 
module oc8051_sp (clk, rst, ram_rd_sel, ram_wr_sel, wr_addr, wr, wr_bit, data_in, data_out);
module oc8051_sp (clk, rst, ram_rd_sel, ram_wr_sel, wr_addr, wr, wr_bit, data_in, data_out, sp_out, sp_w);
//
 
// clk          (in)  clock
 
// rst          (in)  reset
 
// ram_rd_sel   (in)  ram read select, used tu calculate next value [oc8051_decoder.ram_rd_sel]
 
// ram_wr_sel   (in)  ram write select, used tu calculate next value [oc8051_decoder.ram_wr_sel -r]
 
// wr           (in)  write [oc8051_decoder.wr -r]
 
// wr_bit       (in)  write bit addresable [oc8051_decoder.bit_addr -r]
 
// data_in      (in)  data input [oc8051_alu.des1]
 
// wr_addr      (in)  write address (if is addres of sp and white high must be written to sp)  [oc8051_ram_wr_sel.out]
 
// data_out     (out) data output
 
//
 
 
 
 
 
input clk, rst, wr, wr_bit;
input clk, rst, wr, wr_bit;
input [1:0] ram_rd_sel;
input [2:0] ram_rd_sel, ram_wr_sel;
input [2:0] ram_wr_sel;
 
input [7:0] data_in, wr_addr;
input [7:0] data_in, wr_addr;
output [7:0] data_out;
output [7:0] data_out;
 
output [7:0] sp_out, sp_w;
 
 
reg [7:0] data_out;
reg [7:0] sp_out, sp_w;
reg [7:0] temp;
reg pop;
reg pop, write;
wire write;
wire [7:0] temp1;
wire [7:0] sp_t;
 
 
assign temp1 = write ? data_in : temp;
reg [7:0] sp;
 
 
always @(wr_addr or wr or wr_bit)
 
begin
assign write = ((wr_addr==`OC8051_SFR_SP) & (wr) & !(wr_bit));
  if ((wr_addr==`OC8051_SFR_SP) & (wr) & !(wr_bit))
 
    write = 1'b1;
assign sp_t= write ? data_in : sp;
  else
 
    write = 1'b0;
assign data_out = sp;
end
 
 
 
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)
begin
begin
  if (rst)
  if (rst)
    temp <= #1 `OC8051_RST_SP;
    sp <= #1 `OC8051_RST_SP;
 
  else if (write)
 
    sp <= #1 data_in;
  else
  else
    temp <= #1 data_out;
    sp <= #1 sp_out;
end
end
 
 
always @(temp1 or ram_wr_sel or pop or write)
 
 
always @(sp or ram_wr_sel)
 
begin
 
//
 
// push
 
  if (ram_wr_sel==`OC8051_RWS_SP) sp_w = sp + 8'h01;
 
  else sp_w = sp;
 
 
 
end
 
 
 
 
 
always @(sp_t or ram_wr_sel or pop or write)
begin
begin
//
//
// push
// push
  if (ram_wr_sel==`OC8051_RWS_SP) data_out = temp1+8'h01;
  if (write) sp_out = sp_t;
  else if (write) data_out = temp1;
  else if (ram_wr_sel==`OC8051_RWS_SP) sp_out = sp_t + 8'h01;
  else data_out = temp1 - {7'b0, pop};
  else sp_out = sp_t - {7'b0, pop};
 
 
end
end
 
 
 
 
always @(posedge clk or posedge rst)
always @(posedge clk or posedge rst)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.