OpenCores
URL https://opencores.org/ocsvn/8051/8051/trunk

Subversion Repositories 8051

[/] [8051/] [trunk/] [rtl/] [verilog/] [oc8051_top.v] - Diff between revs 102 and 107

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 102 Rev 107
Line 42... Line 42...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.19  2003/04/02 15:08:30  simont
 
// raname signals.
 
//
// Revision 1.18  2003/01/13 14:14:41  simont
// Revision 1.18  2003/01/13 14:14:41  simont
// replace some modules
// replace some modules
//
//
// Revision 1.17  2002/11/05 17:23:54  simont
// Revision 1.17  2002/11/05 17:23:54  simont
// add module oc8051_sfr, 256 bytes internal ram
// add module oc8051_sfr, 256 bytes internal ram
Line 134... Line 137...
wire [7:0] sp, sp_w;
wire [7:0] sp, sp_w;
 
 
wire [15:0] pc;
wire [15:0] pc;
 
 
assign wbd_cyc_o = wbd_stb_o;
assign wbd_cyc_o = wbd_stb_o;
assign wbi_cyc_o = wbi_stb_o;
//assign wbi_cyc_o = wbi_stb_o;
 
 
//
//
// ram_rd_sel    ram read (internal)
// ram_rd_sel    ram read (internal)
// ram_wr_sel    ram write (internal)
// ram_wr_sel    ram write (internal)
// src_sel1, src_sel2    from decoder to register
// src_sel1, src_sel2    from decoder to register
Line 222... Line 225...
// bit_data     bit data from ram to ram_select
// bit_data     bit data from ram to ram_select
// bit_out      bit data from ram_select to alu and cy_select
// bit_out      bit data from ram_select to alu and cy_select
wire bit_addr, bit_data, bit_out, bit_addr_o;
wire bit_addr, bit_data, bit_out, bit_addr_o;
 
 
//
//
 
// cpu to cache/wb_interface
 
wire        iack_i,
 
            istb_o,
 
            icyc_o;
 
wire [31:0] idat_i;
 
wire [15:0] iadr_o;
 
 
 
 
 
 
//
//
// decoder
// decoder
Line 266... Line 275...
oc8051_comp oc8051_comp1(.sel(comp_sel), .eq(eq), .b_in(bit_out), .cy(cy), .acc(acc), .des(des1_r));
oc8051_comp oc8051_comp1(.sel(comp_sel), .eq(eq), .b_in(bit_out), .cy(cy), .acc(acc), .des(des1_r));
 
 
 
 
//
//
//program rom
//program rom
oc8051_rom oc8051_rom1(.rst(wb_rst_i), .clk(wb_clk_i), .ea_int(ea_int), .addr(wbi_adr_o),
oc8051_rom oc8051_rom1(.rst(wb_rst_i), .clk(wb_clk_i), .ea_int(ea_int), .addr(iadr_o),
                .data1(op1_i), .data2(op2_i), .data3(op3_i));
                .data1(op1_i), .data2(op2_i), .data3(op3_i));
 
 
//
//
//
//
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel), .cy_in(cy), .data_in(bit_out),
oc8051_cy_select oc8051_cy_select1(.cy_sel(cy_sel), .cy_in(cy), .data_in(bit_out),
Line 280... Line 289...
oc8051_indi_addr oc8051_indi_addr1 (.clk(wb_clk_i), .rst(wb_rst_i), .rd_addr(rd_addr), .wr_addr(wr_addr),
oc8051_indi_addr oc8051_indi_addr1 (.clk(wb_clk_i), .rst(wb_rst_i), .rd_addr(rd_addr), .wr_addr(wr_addr),
      .data_in(wr_dat), .wr(wr_o), .wr_bit(bit_addr_o), .rn_out(rn_mem),
      .data_in(wr_dat), .wr(wr_o), .wr_bit(bit_addr_o), .rn_out(rn_mem),
      .ri_out(ri), .sel(op1_cur), .bank(bank_sel));
      .ri_out(ri), .sel(op1_cur), .bank(bank_sel));
 
 
 
 
 
 
 
assign icyc_o = istb_o;
//
//
//
//
oc8051_memory_interface oc8051_memory_interface1(.clk(wb_clk_i), .rst(wb_rst_i),
oc8051_memory_interface oc8051_memory_interface1(.clk(wb_clk_i), .rst(wb_rst_i),
 
// internal ram
   .wr_i(wr), .wr_o(wr_o), .wr_bit_i(bit_addr), .wr_bit_o(bit_addr_o), .wr_dat(wr_dat),
   .wr_i(wr), .wr_o(wr_o), .wr_bit_i(bit_addr), .wr_bit_o(bit_addr_o), .wr_dat(wr_dat),
//rom_addr_sel
   .des1(des1), .des2(des2),
   .iack_i(wbi_ack_i), .des1(des1), .des2(des2),
   .rd_addr(rd_addr), .wr_addr(wr_addr),
   .iadr_o(wbi_adr_o), .sp_w(sp_w),
   .wr_ind(wr_ind),
 
   .bit_in(bit_data), .in_ram(ram_data),
//ext_addr_sel
   .sfr(sfr_out), .sfr_bit(sfr_bit), .bit_out(bit_out), .iram_out(ram_out),
   .dptr({dptr_hi, dptr_lo}), .ri(ri), .rn_mem(rn_mem), .dadr_o(wbd_adr_o), .ddat_o(wbd_dat_o),
 
   .dwe_o(wbd_we_o), .dstb_o(wbd_stb_o), .ddat_i(wbd_dat_i), .acc(acc), .dack_i(wbd_ack_i),
 
 
 
//ram_addr_sel
 
   .rd_sel(ram_rd_sel), .wr_sel(ram_wr_sel), .sp(sp), .rn({bank_sel, op1_n[2:0]}),
 
   .rd_addr(rd_addr), .wr_addr(wr_addr), .rd_ind(rd_ind), .wr_ind(wr_ind),
 
 
 
//op_select
// external instrauction rom
   .ea(ea_in), .ea_int(ea_int),
   .iack_i(iack_i),
 
   .iadr_o(iadr_o),
 
   .idat_i(idat_i),
 
   .istb_o(istb_o),
 
 
 
// internal instruction rom
   .op1_i(op1_i), .op2_i(op2_i), .op3_i(op3_i),
   .op1_i(op1_i), .op2_i(op2_i), .op3_i(op3_i),
   .idat_i(wbi_dat_i),
 
 
// data memory
 
   .dadr_o(wbd_adr_o), .ddat_o(wbd_dat_o),
 
   .dwe_o(wbd_we_o), .dstb_o(wbd_stb_o),
 
   .ddat_i(wbd_dat_i), .dack_i(wbd_ack_i),
 
 
 
// from decoder
 
   .rd_sel(ram_rd_sel), .wr_sel(ram_wr_sel), .rn({bank_sel, op1_n[2:0]}),
 
   .rd_ind(rd_ind), .rd(rd),
 
   .mem_act(mem_act), .mem_wait(mem_wait),
 
 
 
// external access
 
   .ea(ea_in), .ea_int(ea_int),
 
 
 
// instructions outputs to cpu
   .op1_out(op1_n), .op2_out(op2_n), .op3_out(op3_n),
   .op1_out(op1_n), .op2_out(op2_n), .op3_out(op3_n),
   .intr(intr), .int_v(int_src), .rd(rd), .int_ack(int_ack), .istb(istb),
 
   .istb_o(wbi_stb_o),
// interrupt interface
 
   .intr(intr), .int_v(int_src), .int_ack(int_ack), .istb(istb),
 
   .reti(reti),
 
 
//pc
//pc
   .pc_wr_sel(pc_wr_sel), .pc_wr(pc_wr), .pc(pc),
   .pc_wr_sel(pc_wr_sel), .pc_wr(pc_wr), .pc(pc),
   .mem_act(mem_act), .mem_wait(mem_wait),
 
   .bit_in(bit_data), .in_ram(ram_data),
// sfr's
   .sfr(sfr_out), .sfr_bit(sfr_bit), .bit_out(bit_out), .iram_out(ram_out),
   .sp_w(sp_w), .dptr({dptr_hi, dptr_lo}),
   .reti(reti));
   .ri(ri), .rn_mem(rn_mem),
 
   .acc(acc), .sp(sp)
 
   );
 
 
 
 
//
//
//
//
 
 
Line 339... Line 368...
       .t0(t0_i), .t1(t1_i), .t2(t2_i), .t2ex(t2ex_i),
       .t0(t0_i), .t1(t1_i), .t2(t2_i), .t2ex(t2ex_i),
// dptr
// dptr
       .dptr_hi(dptr_hi), .dptr_lo(dptr_lo));
       .dptr_hi(dptr_hi), .dptr_lo(dptr_lo));
 
 
 
 
 
 
 
 
 
`ifdef OC8051_CACHE
 
 
 
 
 
oc8051_icache oc8051_icache1(.rst(wb_rst_i), .clk(wb_clk_i),
 
// cpu
 
        .adr_i(iadr_o),
 
        .dat_o(idat_i),
 
        .stb_i(istb_o),
 
        .ack_o(iack_i),
 
        .cyc_i(icyc_o),
 
// pins
 
        .dat_i(wbi_dat_i),
 
        .stb_o(wbi_stb_o),
 
        .adr_o(wbi_adr_o),
 
        .ack_i(wbi_ack_i),
 
        .cyc_o(wbi_cyc_o));
 
 
 
defparam oc8051_icache1.ADR_WIDTH = 7;  // cache address wihth
 
defparam oc8051_icache1.LINE_WIDTH = 2; // line address width (2 => 4x32)
 
defparam oc8051_icache1.BL_NUM = 31; // number of blocks (2^BL_WIDTH-1); BL_WIDTH = ADR_WIDTH - LINE_WIDTH
 
defparam oc8051_icache1.CACHE_RAM = 128; // cache ram x 32 (2^ADR_WIDTH)
 
 
 
//
 
//    no cache
 
//
 
`else
 
 
 
oc8051_wb_iinterface oc8051_wb_iinterface(.rst(wb_rst_i), .clk(wb_clk_i),
 
// cpu
 
        .adr_i(iadr_o),
 
        .dat_o(idat_i),
 
        .stb_i(istb_o),
 
        .ack_o(iack_i),
 
        .cyc_i(icyc_o),
 
// external rom
 
        .dat_i(wbi_dat_i),
 
        .stb_o(wbi_stb_o),
 
        .adr_o(wbi_adr_o),
 
        .ack_i(wbi_ack_i),
 
        .cyc_o(wbi_cyc_o));
 
 
 
 
 
`endif
 
 
 
 
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.