OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [rev_86/] [rtl/] [System09_Digilent_3S200/] [System09_Digilent_3S200.vhd] - Diff between revs 22 and 59

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 59
Line 97... Line 97...
   use ieee.std_logic_1164.all;
   use ieee.std_logic_1164.all;
   use IEEE.STD_LOGIC_ARITH.ALL;
   use IEEE.STD_LOGIC_ARITH.ALL;
   use IEEE.STD_LOGIC_UNSIGNED.ALL;
   use IEEE.STD_LOGIC_UNSIGNED.ALL;
   use ieee.numeric_std.all;
   use ieee.numeric_std.all;
 
 
entity My_System09 is
entity my_system09 is
  port(
  port(
    sys_clk     : in  Std_Logic;  -- System Clock input
    sys_clk     : in  Std_Logic;  -- System Clock input
         rst_sw    : in  Std_logic;  -- Master Reset input (active high)
         rst_sw    : in  Std_logic;  -- Master Reset input (active high)
         nmi_sw      : in  Std_logic;
         nmi_sw      : in  Std_logic;
 
 
Line 141... Line 141...
 
 
         -- seven segment display
         -- seven segment display
         segments    : out std_logic_vector(7 downto 0);
         segments    : out std_logic_vector(7 downto 0);
         digits      : out std_logic_vector(3 downto 0)
         digits      : out std_logic_vector(3 downto 0)
         );
         );
end My_System09;
end my_system09;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture for System09
-- Architecture for System09
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
architecture my_computer of My_System09 is
architecture my_computer of my_system09 is
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- constants
  -- constants
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  constant SYS_Clock_Frequency  : integer := 50000000;  -- FPGA System Clock
  constant SYS_Clock_Frequency  : integer := 50000000;  -- FPGA System Clock
  constant VGA_Clock_Frequency  : integer := 25000000;  -- VGA Pixel Clock
  constant VGA_Clock_Frequency  : integer := 25000000;  -- VGA Pixel Clock

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.