URL
https://opencores.org/ocsvn/System09/System09/trunk
[/] [System09/] [trunk/] [rtl/] [Spartan3/] [keymap_rom_slice.vhd] - Diff between revs 99 and 118
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 99 |
Rev 118 |
Line 107... |
Line 107... |
|
|
signal rom_out : std_logic_vector(255 downto 0);
|
signal rom_out : std_logic_vector(255 downto 0);
|
|
|
begin
|
begin
|
|
|
process( addr, rom_data, rom_out )
|
process( addr, rom_out )
|
begin
|
begin
|
rom_out <= rom_data(conv_integer(addr(8 downto 5)));
|
rom_out <= rom_data(conv_integer(addr(8 downto 5)));
|
data_out <= rom_out( conv_integer(addr(4 downto 0))*8+7 downto conv_integer(addr(4 downto 0))*8);
|
data_out <= rom_out( conv_integer(addr(4 downto 0))*8+7 downto conv_integer(addr(4 downto 0))*8);
|
end;
|
end process;
|
|
|
end architecture rtl;
|
end architecture rtl;
|
|
|
|
|
No newline at end of file
|
No newline at end of file
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.