Line 1... |
Line 1... |
-- $Id: System09_Digilent_3S500E.vhd,v 1.3 2008-03-14 15:52:44 dilbert57 Exp $
|
-- $Id: System09_Digilent_3S500E.vhd,v 1.4 2008-08-20 06:00:55 davidgb Exp $
|
--===========================================================================----
|
--===========================================================================----
|
--
|
--
|
-- S Y N T H E Z I A B L E System09 - SOC.
|
-- S Y N T H E Z I A B L E System09 - SOC.
|
--
|
--
|
-- This core adheres to the GNU public license
|
-- This core adheres to the GNU public license
|
Line 86... |
Line 86... |
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use IEEE.STD_LOGIC_ARITH.ALL;
|
use IEEE.STD_LOGIC_ARITH.ALL;
|
use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
use ieee.numeric_std.all;
|
use ieee.numeric_std.all;
|
|
|
entity My_System09 is
|
entity my_system09 is
|
port(
|
port(
|
CLK_50MHZ : in Std_Logic; -- System Clock input
|
CLK_50MHZ : in Std_Logic; -- System Clock input
|
BTN_SOUTH : in Std_Logic;
|
BTN_SOUTH : in Std_Logic;
|
|
|
-- PS/2 Keyboard
|
-- PS/2 Keyboard
|
Line 109... |
Line 109... |
RS232_DCE_TXD : out std_logic;
|
RS232_DCE_TXD : out std_logic;
|
|
|
-- LEDS & Switches
|
-- LEDS & Switches
|
LED : out std_logic_vector(7 downto 0)
|
LED : out std_logic_vector(7 downto 0)
|
);
|
);
|
end My_System09;
|
end my_system09;
|
|
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
-- Architecture for System09
|
-- Architecture for System09
|
-------------------------------------------------------------------------------
|
-------------------------------------------------------------------------------
|
architecture my_computer of My_System09 is
|
architecture my_computer of my_system09 is
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- constants
|
-- constants
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
constant SYS_Clock_Frequency : integer := 50000000; -- FPGA System Clock
|
constant SYS_Clock_Frequency : integer := 50000000; -- FPGA System Clock
|
constant PIX_Clock_Frequency : integer := 25000000; -- VGA Pixel Clock
|
constant PIX_Clock_Frequency : integer := 25000000; -- VGA Pixel Clock
|
Line 328... |
Line 328... |
cs : in std_logic;
|
cs : in std_logic;
|
rw : in std_logic;
|
rw : in std_logic;
|
addr : in std_logic;
|
addr : in std_logic;
|
data_in : in std_logic_vector(7 downto 0);
|
data_in : in std_logic_vector(7 downto 0);
|
data_out : out std_logic_vector(7 downto 0);
|
data_out : out std_logic_vector(7 downto 0);
|
irq : out std_logic;
|
irq : out std_logic
|
timer_in : in std_logic;
|
-- ;
|
timer_out : out std_logic
|
-- timer_in : in std_logic;
|
|
-- timer_out : out std_logic
|
);
|
);
|
end component;
|
end component;
|
|
|
------------------------------------------------------------
|
------------------------------------------------------------
|
--
|
--
|
Line 595... |
Line 596... |
cs => timer_cs,
|
cs => timer_cs,
|
rw => cpu_rw,
|
rw => cpu_rw,
|
addr => cpu_addr(0),
|
addr => cpu_addr(0),
|
data_in => cpu_data_out,
|
data_in => cpu_data_out,
|
data_out => timer_data_out,
|
data_out => timer_data_out,
|
irq => timer_irq,
|
irq => timer_irq
|
timer_in => CountL(5)
|
-- ,
|
|
-- timer_in => CountL(5)
|
-- timer_out => timer_out
|
-- timer_out => timer_out
|
);
|
);
|
|
|
----------------------------------------
|
----------------------------------------
|
--
|
--
|