URL
https://opencores.org/ocsvn/System09/System09/trunk
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 177 |
Rev 178 |
Line 126... |
Line 126... |
library unisim;
|
library unisim;
|
use unisim.vcomponents.all;
|
use unisim.vcomponents.all;
|
|
|
entity system09 is
|
entity system09 is
|
port(
|
port(
|
sysclk : in Std_Logic; -- 100MHz Clock input
|
sysclk : in Std_Logic; -- 125MHz Clock input
|
RESET_N : in Std_logic; -- Master Reset input (active low)
|
RESET_N : in Std_logic; -- Master Reset input (active low)
|
NMI_N : in Std_logic; -- Non Maskable Interrupt input (active low)
|
NMI_N : in Std_logic; -- Non Maskable Interrupt input (active low)
|
|
|
-- RS232 Port
|
-- RS232 Port
|
--RS232_CTS : in std_logic;
|
--RS232_CTS : in std_logic;
|
Line 161... |
Line 161... |
architecture rtl of system09 is
|
architecture rtl of system09 is
|
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- constants
|
-- constants
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
constant SYS_CLK_FREQ : natural := 100_000_000; -- FPGA System Clock (in Hz)
|
constant SYS_CLK_FREQ : natural := 125_000_000; -- FPGA System Clock (in Hz)
|
constant CPU_CLK_FREQ : natural := 25_000_000; -- CPU Clock (Hz)
|
constant CPU_CLK_FREQ : natural := 25_000_000; -- CPU Clock (Hz)
|
constant CPU_CLK_DIV : natural := (SYS_CLK_FREQ/CPU_CLK_FREQ);
|
constant CPU_CLK_DIV : natural := (SYS_CLK_FREQ/CPU_CLK_FREQ);
|
constant BAUD_RATE : integer := 57600; -- Baud Rate
|
constant BAUD_RATE : integer := 57600; -- Baud Rate
|
constant ACIA_CLK_FREQ : integer := BAUD_RATE * 16;
|
constant ACIA_CLK_FREQ : integer := BAUD_RATE * 16;
|
|
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.