Line 11... |
Line 11... |
-- Purpose : Implements a RS232 6850 compatible
|
-- Purpose : Implements a RS232 6850 compatible
|
-- Asynchronous Communications Interface Adapter (ACIA)
|
-- Asynchronous Communications Interface Adapter (ACIA)
|
--
|
--
|
-- Dependencies : ieee.std_logic_1164
|
-- Dependencies : ieee.std_logic_1164
|
-- ieee.numeric_std
|
-- ieee.numeric_std
|
-- unisim.vcomponents
|
-- ieee.std_logic_unsigned
|
--
|
--
|
-- Author : John E. Kent
|
-- Author : John E. Kent
|
--
|
--
|
-- Email : dilbert57@opencores.org
|
-- Email : dilbert57@opencores.org
|
--
|
--
|
Line 123... |
Line 123... |
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
use ieee.numeric_std.all;
|
use ieee.numeric_std.all;
|
use ieee.std_logic_unsigned.all;
|
use ieee.std_logic_unsigned.all;
|
library unisim;
|
--library unisim;
|
use unisim.vcomponents.all;
|
-- use unisim.vcomponents.all;
|
|
|
-----------------------------------------------------------------------
|
-----------------------------------------------------------------------
|
-- Entity for ACIA_6850 --
|
-- Entity for ACIA_6850 --
|
-----------------------------------------------------------------------
|
-----------------------------------------------------------------------
|
|
|
Line 338... |
Line 338... |
|
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
-- Generate Read / Write strobes.
|
-- Generate Read / Write strobes.
|
-----------------------------------------------------------------------------
|
-----------------------------------------------------------------------------
|
|
|
ACIA_Read_Write : process(clk, ac_rst)
|
acia_read_write : process(clk, ac_rst)
|
begin
|
begin
|
if falling_edge(clk) then
|
if falling_edge(clk) then
|
if ac_rst = '1' then
|
if rst = '1' then
|
CtrlReg <= (others => '0');
|
CtrlReg(1 downto 0) <= "11";
|
|
CtrlReg(7 downto 2) <= (others => '0');
|
TxReg <= (others => '0');
|
TxReg <= (others => '0');
|
RxRd <= '0';
|
RxRd <= '0';
|
TxWr <= '0';
|
TxWr <= '0';
|
StRd <= '0';
|
StRd <= '0';
|
else
|
else
|