Line 42... |
Line 42... |
#
|
#
|
# Misc Variables
|
# Misc Variables
|
#
|
#
|
##########################################################################
|
##########################################################################
|
|
|
INCDIR="-INCDIR ./$(DUT_SRC_DIR)/ -INCDIR ./$(TB_SRC_DIR)/"
|
INCDIR=+incdir+./$(DUT_SRC_DIR)/ +incdir+./$(TB_SRC_DIR)/
|
LOGF=-LOGFILE .nclog
|
LOGF=-l .nclog
|
NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
|
#NCCOMMON=-CDSLIB ncwork/cds.lib -HDLVAR ncwork/hdl.var -NOCOPYRIGHT
|
|
UMC_LIB=/tools/dc_libraries/virtual_silicon/umc_lib.v
|
|
GATE_NETLIST = ../../../syn/out/mc_top_ps.v
|
|
|
##########################################################################
|
##########################################################################
|
#
|
#
|
# Make Targets
|
# Make Targets
|
#
|
#
|
##########################################################################
|
##########################################################################
|
simw:
|
|
@$(MAKE) -s sim ACCESS="-ACCESS +r " WAVES="-DEFINE WAVES"
|
|
|
|
ss:
|
ss:
|
signalscan -do waves/waves.do -waves waves/waves.trn &
|
signalscan -do waves/waves.do -waves waves/waves.trn &
|
|
|
|
simw:
|
|
@$(MAKE) -s sim ACCESS="+access+r " WAVES="+define+WAVES"
|
|
|
simxl:
|
simxl:
|
verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR) \
|
verilog +incdir+$(DUT_SRC_DIR) +incdir+$(TB_SRC_DIR) \
|
$(_TARGETS_) $(_TB_)
|
$(_TARGETS_) $(_TB_)
|
|
|
sim:
|
sim:
|
@echo ""
|
ncverilog -q +define+RUDIS_TB $(_TARGETS_) $(_TB_) \
|
@echo "----- Running NCVLOG ... ----------"
|
$(INCDIR) $(WAVES) $(ACCESS) $(LOGF) +ncstatus \
|
@$(MAKE) $(MS) vlog \
|
+ncuid+`hostname`
|
TARGETS="$(_TARGETS_)" \
|
|
TB="$(_TB_)" \
|
|
INCDIR=$(INCDIR) \
|
|
WAVES="$(WAVES)"
|
|
@echo ""
|
|
@echo "----- Running NCELAB ... ----------"
|
|
@$(MAKE) $(MS) elab \
|
|
ACCESS="$(ACCESS)" TOP=$(_TOP_)
|
|
@echo ""
|
|
@echo "----- Running NCSIM ... ----------"
|
|
@$(MAKE) $(MS) ncsim TOP=$(_TOP_)
|
|
@echo ""
|
|
|
|
hal:
|
hal:
|
@echo ""
|
@echo ""
|
@echo "----- Running HAL ... ----------"
|
@echo "----- Running HAL ... ----------"
|
@hal +incdir+$(DUT_SRC_DIR) \
|
@hal +incdir+$(DUT_SRC_DIR) \
|
Line 89... |
Line 80... |
@echo "----- DONE ... ----------"
|
@echo "----- DONE ... ----------"
|
|
|
clean:
|
clean:
|
rm -rf ./waves/*.dsn ./waves/*.trn \
|
rm -rf ./waves/*.dsn ./waves/*.trn \
|
ncwork/.inc* ncwork/inc* \
|
ncwork/.inc* ncwork/inc* \
|
./verilog.* .nclog hal.log
|
./verilog.* .nclog hal.log \
|
|
INCA_libs/
|
##########################################################################
|
|
#
|
|
# NCVLOG
|
|
#
|
|
##########################################################################
|
|
|
|
vhdl:
|
|
ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG \
|
|
-WORK count -V93 hdl/counter.vhd
|
|
ncvhdl $(NCCOMMON) $(LOGF) -APPEND_LOG \
|
|
-WORK work -V93 $(TARGETS)
|
|
|
|
vlog:
|
|
ncvlog $(NCCOMMON) $(LOGF) \
|
|
-WORK work $(WAVES) $(TB) $(TARGETS) $(INCDIR)
|
|
|
|
##########################################################################
|
|
#
|
|
# NCELAB
|
|
#
|
|
##########################################################################
|
|
|
|
elab:
|
|
ncelab $(NCCOMMON) $(LOGF) -APPEND_LOG \
|
|
-WORK work $(ACCESS) -NOTIMINGCHECKS \
|
|
work.$(TOP)
|
|
|
|
##########################################################################
|
|
#
|
|
# NCSIM
|
|
#
|
|
##########################################################################
|
##########################################################################
|
|
|
ncsim:
|
|
ncsim $(NCCOMMON) $(LOGF) -APPEND_LOG \
|
|
-EXIT -ERRORMAX 10 work.$(TOP)
|
|
|
|
|
|