OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [branches/] [AEMB2_712/] [rtl/] [verilog/] [aeMB_ctrl.v] - Diff between revs 62 and 65

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 62 Rev 65
Line 1... Line 1...
// $Id: aeMB_ctrl.v,v 1.8 2007-11-14 23:19:24 sybreon Exp $
// $Id: aeMB_ctrl.v,v 1.9 2007-11-15 09:26:43 sybreon Exp $
//
//
// AEMB CONTROL UNIT
// AEMB CONTROL UNIT
// 
// 
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
//  
//  
Line 18... Line 18...
//
//
// You should have received a copy of the GNU Lesser General Public
// You should have received a copy of the GNU Lesser General Public
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.8  2007/11/14 23:19:24  sybreon
 
// Fixed minor typo.
 
//
// Revision 1.7  2007/11/14 22:14:34  sybreon
// Revision 1.7  2007/11/14 22:14:34  sybreon
// Changed interrupt handling system (reported by M. Ettus).
// Changed interrupt handling system (reported by M. Ettus).
//
//
// Revision 1.6  2007/11/10 16:39:38  sybreon
// Revision 1.6  2007/11/10 16:39:38  sybreon
// Upgraded license to LGPLv3.
// Upgraded license to LGPLv3.
Line 281... Line 284...
     end // else: !if(fSKIP)
     end // else: !if(fSKIP)
 
 
 
 
   // --- DATA WISHBONE ----------------------------------
   // --- DATA WISHBONE ----------------------------------
 
 
   wire          fDACK = !(rDWBSTB ^ dwb_ack_i);
   wire          fDACK = !(dwb_stb_o ^ dwb_ack_i);
 
 
   reg           rDWBSTB, xDWBSTB;
   reg           rDWBSTB, xDWBSTB;
   reg           rDWBWRE, xDWBWRE;
   reg           rDWBWRE, xDWBWRE;
 
 
   assign        dwb_stb_o = rDWBSTB;
   assign        dwb_stb_o = rDWBSTB;
Line 318... Line 321...
     end
     end
 
 
 
 
   // --- FSL WISHBONE -----------------------------------
   // --- FSL WISHBONE -----------------------------------
 
 
   wire          fFACK = !(rFSLSTB ^ fsl_ack_i);
   wire          fFACK = !(fsl_stb_o ^ fsl_ack_i);
 
 
   reg           rFSLSTB, xFSLSTB;
   reg           rFSLSTB, xFSLSTB;
   reg           rFSLWRE, xFSLWRE;
   reg           rFSLWRE, xFSLWRE;
 
 
   assign        fsl_stb_o = rFSLSTB;
   assign        fsl_stb_o = rFSLSTB;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.