OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [rtl/] [verilog/] [aeMB2_iwbif.v] - Diff between revs 191 and 202

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 191 Rev 202
Line 29... Line 29...
 */
 */
 
 
module aeMB2_iwbif (/*AUTOARG*/
module aeMB2_iwbif (/*AUTOARG*/
   // Outputs
   // Outputs
   iwb_adr_o, iwb_stb_o, iwb_sel_o, iwb_wre_o, iwb_cyc_o, iwb_tag_o,
   iwb_adr_o, iwb_stb_o, iwb_sel_o, iwb_wre_o, iwb_cyc_o, iwb_tag_o,
   ich_adr, fet_fb, rpc_if, rpc_mx,
   ich_adr, fet_fb, rpc_if, rpc_mx, exc_iwb,
   // Inputs
   // Inputs
   iwb_ack_i, iwb_dat_i, ich_hit, msr_ex, hzd_bpc, hzd_fwd, bra_ex,
   iwb_ack_i, iwb_dat_i, iwb_err_i, ich_hit, msr_ex, hzd_bpc, hzd_fwd,
   bpc_ex, gclk, grst, dena, iena, gpha
   bra_ex, bpc_ex, gclk, grst, dena, iena, gpha
   );
   );
   parameter AEMB_IWB = 32;
   parameter AEMB_IWB = 32;
   parameter AEMB_HTX = 1;
   parameter AEMB_HTX = 1;
 
 
   // Wishbone
   // Wishbone
Line 46... Line 46...
   output                iwb_wre_o;
   output                iwb_wre_o;
   output                iwb_cyc_o;
   output                iwb_cyc_o;
   output                iwb_tag_o;
   output                iwb_tag_o;
   input                 iwb_ack_i;
   input                 iwb_ack_i;
   input [31:0]   iwb_dat_i;
   input [31:0]   iwb_dat_i;
 
   input                 iwb_err_i; // bus error exception
 
 
   // Cache
   // Cache
   output [AEMB_IWB-1:2] ich_adr;
   output [AEMB_IWB-1:2] ich_adr;
   input                 ich_hit;
   input                 ich_hit;
 
 
Line 64... Line 65...
                         hzd_fwd;
                         hzd_fwd;
 
 
   input [1:0]            bra_ex;
   input [1:0]            bra_ex;
   input [31:2]          bpc_ex;
   input [31:2]          bpc_ex;
 
 
 
   output                exc_iwb;
 
 
   // SYS signals
   // SYS signals
   input                 gclk,
   input                 gclk,
                         grst,
                         grst,
                         dena,
                         dena,
                         iena,
                         iena,
Line 151... Line 154...
   assign               iwb_cyc_o = iwb_stb_o;
   assign               iwb_cyc_o = iwb_stb_o;
   assign               iwb_tag_o = msr_ex[5];
   assign               iwb_tag_o = msr_ex[5];
 
 
   assign               fet_fb = iwb_stb_o ~^ iwb_ack_i; // no WB cycle      
   assign               fet_fb = iwb_stb_o ~^ iwb_ack_i; // no WB cycle      
 
 
endmodule // aeMB2_iwbif
   assign               exc_iwb = iwb_err_i; // exception pass-thru
 
 
/*
 
 $Log: not supported by cvs2svn $
 
 Revision 1.4  2008/04/26 01:09:06  sybreon
 
 Passes basic tests. Minor documentation changes to make it compatible with iverilog pre-processor.
 
 
 
 Revision 1.3  2008/04/21 12:11:38  sybreon
endmodule // aeMB2_iwbif
 Passes arithmetic tests with single thread.
 
 
 
 Revision 1.2  2008/04/20 16:34:32  sybreon
 
 Basic version with some features left out.
 
 
 
 Revision 1.1  2008/04/18 00:21:52  sybreon
 
 Initial import.
 
*/
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.