OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [rtl/] [verilog/] [aeMB2_sparam.v] - Diff between revs 119 and 131

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 119 Rev 131
Line 1... Line 1...
/* $Id: aeMB2_sparam.v,v 1.1 2008-04-20 16:33:39 sybreon Exp $
/* $Id: aeMB2_sparam.v,v 1.2 2008-04-26 01:09:06 sybreon Exp $
**
**
** AEMB2 EDK 6.2 COMPATIBLE CORE
** AEMB2 EDK 6.2 COMPATIBLE CORE
** Copyright (C) 2004-2008 Shawn Tan <shawn.tan@aeste.net>
** Copyright (C) 2004-2008 Shawn Tan <shawn.tan@aeste.net>
**
**
** This file is part of AEMB.
** This file is part of AEMB.
Line 16... Line 16...
** Public License for more details.
** Public License for more details.
**
**
** You should have received a copy of the GNU Lesser General Public
** You should have received a copy of the GNU Lesser General Public
** License along with AEMB. If not, see <http:**www.gnu.org/licenses/>.
** License along with AEMB. If not, see <http:**www.gnu.org/licenses/>.
*/
*/
 
 
/**
/**
 * @file aeMB2_sparam.v
 * @file aeMB2_sparam.v
 * @brief On-chip single-port asynchronous SRAM.
 * @brief On-chip single-port asynchronous SRAM.
 
 
 * This will be implemented as distributed RAM.
 * This will be implemented as distributed RAM.
Line 66... Line 65...
         rRAM[i] <= {(DW){1'b0}};
         rRAM[i] <= {(DW){1'b0}};
      end
      end
   end
   end
   // synopsys translate_on
   // synopsys translate_on
 
 
endmodule // fasm_sparam
endmodule // aeMB2_sparam
 
 
// $Log: not supported by cvs2svn $
 
 No newline at end of file
 No newline at end of file
 
/*
 
 $Log: not supported by cvs2svn $
 
 Revision 1.1  2008/04/20 16:33:39  sybreon
 
 Initial import.
 
*/
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.