OpenCores
URL https://opencores.org/ocsvn/aemb/aemb/trunk

Subversion Repositories aemb

[/] [aemb/] [trunk/] [rtl/] [verilog/] [aeMB_edk32.v] - Diff between revs 53 and 55

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 53 Rev 55
Line 1... Line 1...
// $Id: aeMB_edk32.v,v 1.6 2007-11-09 20:51:52 sybreon Exp $
// $Id: aeMB_edk32.v,v 1.7 2007-11-10 16:39:38 sybreon Exp $
//
//
// AEMB EDK 3.2 Compatible Core
// AEMB EDK 3.2 Compatible Core
//
//
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
// Copyright (C) 2004-2007 Shawn Tan Ser Ngiap <shawn.tan@aeste.net>
//  
//  
// This library is free software; you can redistribute it and/or
// This file is part of AEMB.
// modify it under the terms of the GNU Lesser General Public License
//
// as published by the Free Software Foundation; either version 2.1 of
// AEMB is free software: you can redistribute it and/or modify it
// the License, or (at your option) any later version.
// under the terms of the GNU Lesser General Public License as
//
// published by the Free Software Foundation, either version 3 of the
// This library is distributed in the hope that it will be useful, but
// License, or (at your option) any later version.
// WITHOUT ANY WARRANTY; without even the implied warranty of
//
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
// AEMB is distributed in the hope that it will be useful, but WITHOUT
// Lesser General Public License for more details.
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
 
// or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU Lesser General
 
// Public License for more details.
//  
//  
// You should have received a copy of the GNU Lesser General Public
// You should have received a copy of the GNU Lesser General Public
// License along with this library; if not, write to the Free Software
// License along with AEMB. If not, see <http://www.gnu.org/licenses/>.
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
 
// USA
 
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.6  2007/11/09 20:51:52  sybreon
 
// Added GET/PUT support through a FSL bus.
 
//
// Revision 1.5  2007/11/08 17:48:14  sybreon
// Revision 1.5  2007/11/08 17:48:14  sybreon
// Fixed data WISHBONE arbitration problem (reported by J Lee).
// Fixed data WISHBONE arbitration problem (reported by J Lee).
//
//
// Revision 1.4  2007/11/08 14:17:47  sybreon
// Revision 1.4  2007/11/08 14:17:47  sybreon
// Parameterised optional components.
// Parameterised optional components.
Line 74... Line 77...
   input                dwb_ack_i;              // To scon of aeMB_scon.v, ...
   input                dwb_ack_i;              // To scon of aeMB_scon.v, ...
   input [31:0]          dwb_dat_i;              // To regf of aeMB_regf.v
   input [31:0]          dwb_dat_i;              // To regf of aeMB_regf.v
   input                fsl_ack_i;              // To scon of aeMB_scon.v, ...
   input                fsl_ack_i;              // To scon of aeMB_scon.v, ...
   input [31:0]          fsl_dat_i;              // To regf of aeMB_regf.v
   input [31:0]          fsl_dat_i;              // To regf of aeMB_regf.v
   input                iwb_ack_i;              // To scon of aeMB_scon.v, ...
   input                iwb_ack_i;              // To scon of aeMB_scon.v, ...
   input [31:0]          iwb_dat_i;              // To ibuf of aeMB_ibuf.v
   input [31:0]          iwb_dat_i;              // To ibuf of aeMB_ibuf.v, ...
   input                sys_clk_i;              // To scon of aeMB_scon.v
   input                sys_clk_i;              // To scon of aeMB_scon.v
   input                sys_int_i;              // To scon of aeMB_scon.v
   input                sys_int_i;              // To scon of aeMB_scon.v
   input                sys_rst_i;              // To scon of aeMB_scon.v
   input                sys_rst_i;              // To scon of aeMB_scon.v
   // End of automatics
   // End of automatics
   /*AUTOWIRE*/
   /*AUTOWIRE*/
Line 186... Line 189...
           .rPC                         (rPC[31:2]),
           .rPC                         (rPC[31:2]),
           .rBRA                        (rBRA),
           .rBRA                        (rBRA),
           .rMSR_IE                     (rMSR_IE),
           .rMSR_IE                     (rMSR_IE),
           .dwb_ack_i                   (dwb_ack_i),
           .dwb_ack_i                   (dwb_ack_i),
           .iwb_ack_i                   (iwb_ack_i),
           .iwb_ack_i                   (iwb_ack_i),
 
           .iwb_dat_i                   (iwb_dat_i[31:0]),
           .fsl_ack_i                   (fsl_ack_i),
           .fsl_ack_i                   (fsl_ack_i),
           .gclk                        (gclk),
           .gclk                        (gclk),
           .grst                        (grst),
           .grst                        (grst),
           .gena                        (gena));
           .gena                        (gena));
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.