Line 42... |
Line 42... |
---- ----
|
---- ----
|
----------------------------------------------------------------------
|
----------------------------------------------------------------------
|
------------------------------------------------------
|
------------------------------------------------------
|
-- Project: AESFast
|
-- Project: AESFast
|
-- Author: Subhasis
|
-- Author: Subhasis
|
-- Last Modified: 20/03/10
|
-- Last Modified: 25/03/10
|
-- Email: subhasis256@gmail.com
|
-- Email: subhasis256@gmail.com
|
------------------------------------------------------
|
------------------------------------------------------
|
--
|
--
|
-- Description: The Datapath
|
-- Description: The Datapath
|
-- Ports:
|
-- Ports:
|
Line 68... |
Line 68... |
use work.aes_pkg.all;
|
use work.aes_pkg.all;
|
|
|
entity sbox is
|
entity sbox is
|
port(
|
port(
|
clk: in std_logic;
|
clk: in std_logic;
|
|
rst: in std_logic;
|
bytein: in std_logic_vector(7 downto 0);
|
bytein: in std_logic_vector(7 downto 0);
|
byteout: out std_logic_vector(7 downto 0)
|
byteout: out std_logic_vector(7 downto 0)
|
);
|
);
|
end sbox;
|
end sbox;
|
|
|
Line 95... |
Line 96... |
X"15", X"31", X"d8", X"71", X"f1", X"e5", X"a5", X"34", X"cc", X"f7", X"3f", X"36", X"26", X"93", X"fd", X"b7",
|
X"15", X"31", X"d8", X"71", X"f1", X"e5", X"a5", X"34", X"cc", X"f7", X"3f", X"36", X"26", X"93", X"fd", X"b7",
|
X"c0", X"72", X"a4", X"9c", X"af", X"a2", X"d4", X"ad", X"f0", X"47", X"59", X"fa", X"7d", X"c9", X"82", X"ca",
|
X"c0", X"72", X"a4", X"9c", X"af", X"a2", X"d4", X"ad", X"f0", X"47", X"59", X"fa", X"7d", X"c9", X"82", X"ca",
|
X"76", X"ab", X"d7", X"fe", X"2b", X"67", X"01", X"30", X"c5", X"6f", X"6b", X"f2", X"7b", X"77", X"7c", X"63"
|
X"76", X"ab", X"d7", X"fe", X"2b", X"67", X"01", X"30", X"c5", X"6f", X"6b", X"f2", X"7b", X"77", X"7c", X"63"
|
);
|
);
|
begin
|
begin
|
process(clk)
|
process(clk,rst)
|
begin
|
begin
|
if(rising_edge(clk)) then
|
if(rst = '1') then
|
|
byteout <= X"00";
|
|
elsif(rising_edge(clk)) then
|
byteout <= sbox_ram(conv_integer(bytein));
|
byteout <= sbox_ram(conv_integer(bytein));
|
end if;
|
end if;
|
end process;
|
end process;
|
end rtl;
|
end rtl;
|
|
|
No newline at end of file
|
No newline at end of file
|