OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [hw/] [vlog/] [tb/] [dumpvcd.v] - Diff between revs 2 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 15
Line 57... Line 57...
    ( `AMBER_DUMP_START + `AMBER_DUMP_LENGTH ) );
    ( `AMBER_DUMP_START + `AMBER_DUMP_LENGTH ) );
 
 
    $dumpfile(`AMBER_VCD_FILE);
    $dumpfile(`AMBER_VCD_FILE);
    $dumpvars(1, `U_TB.clk_count);
    $dumpvars(1, `U_TB.clk_count);
 
 
    $dumpvars(1, `U_FETCH.o_read_data);
 
    $dumpvars(1, `U_DECOMPILE.xINSTRUCTION_EXECUTE);
    $dumpvars(1, `U_DECOMPILE.xINSTRUCTION_EXECUTE);
    $dumpvars(1, `U_DECODE.firq_request);
 
    $dumpvars(1, `U_DECODE.irq_request);
 
    $dumpvars(1, `U_DECODE.swi_request);
 
    $dumpvars(1, `U_DECODE.interrupt);
 
    $dumpvars(1, `U_DECODE.next_interrupt);
 
    $dumpvars(1, `U_DECODE.interrupt_mode);
 
    $dumpvars(1, `U_DECODE.instruction_valid);
 
    $dumpvars(1, `U_DECODE.instruction_execute);
 
    $dumpvars(1, `U_DECODE.instruction);
 
    $dumpvars(1, `U_EXECUTE.i_fetch_stall);
 
    $dumpvars(1, `U_EXECUTE.o_write_enable);
    $dumpvars(1, `U_EXECUTE.o_write_enable);
    $dumpvars(1, `U_EXECUTE.o_exclusive);
    $dumpvars(1, `U_EXECUTE.o_exclusive);
    $dumpvars(1, `U_EXECUTE.o_write_data);
    $dumpvars(1, `U_EXECUTE.o_write_data);
    $dumpvars(1, `U_EXECUTE.o_address);
 
    $dumpvars(1, `U_EXECUTE.base_address);
    $dumpvars(1, `U_EXECUTE.base_address);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r0);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r0);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r1);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r1);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r2);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r2);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r3);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r3);
Line 92... Line 80...
    $dumpvars(1, `U_EXECUTE.u_register_bank.r13_out);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r13_out);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r14_out);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r14_out);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r15);
    $dumpvars(1, `U_EXECUTE.u_register_bank.r15);
 
 
 
 
    $dumpvars(1, `U_COPRO15);
    $dumpvars(1, `U_FETCH);
    $dumpvars(1, `U_CACHE);
    $dumpvars(1, `U_CACHE);
 
    $dumpvars(1, `U_DECODE);
 
//     $dumpvars(1, `U_COPRO15);
    $dumpvars(1, `U_WISHBONE);
    $dumpvars(1, `U_WISHBONE);
    $dumpvars(1, `U_AMBER);
    $dumpvars(1, `U_AMBER);
 
 
    $dumpvars(1, `U_SYSTEM.u_main_mem);
    `ifdef AMBER_A25_CORE
 
    $dumpvars(1, `U_MEM);
 
    $dumpvars(1, `U_DCACHE);
 
    `endif
 
 
    $dumpoff;
    $dumpoff;
    end
    end
 
 
always @(posedge `U_DECOMPILE.i_clk)
always @(posedge `U_DECOMPILE.i_clk)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.