OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [sw/] [boot-loader-ethmac/] [telnet.h] - Diff between revs 80 and 81

Show entire file | Details | Blame | View Log

Rev 80 Rev 81
Line 40... Line 40...
 
 
/* Max number of characters to transmit from a line buffer in a single packet */
/* Max number of characters to transmit from a line buffer in a single packet */
#define MAX_TELNET_TX       1024
#define MAX_TELNET_TX       1024
 
 
 
 
 
/* Telnet connection */
 
typedef struct {
 
 
 
    /* Telnet rx and tx line buffers */
 
    line_buf_t*         rxbuf;
 
    line_buf_t*         txbuf;
 
 
 
    int                 sent_opening_message;
 
    int                 echo_mode;
 
    int                 connection_state;
 
    int                 options_sent;
 
 
 
    /* socket associated with this telnet connection */
 
    //socket_t*           socket;
 
 
 
    int                 id;
 
 
 
    /* pointers to the next telnet object in the chain and the first telnet object in the chain */
 
    void*               next;
 
    void*               first;
 
 
 
    /* pointer to application (telnet) object */
 
    app_t*              app;
 
} telnet_t;
 
 
 
 
 
 
void            parse_telnet_options    (char *, socket_t*);
void            parse_telnet_options    (char *, socket_t*);
void            parse_telnet_payload    (char *, socket_t*);
void            parse_telnet_payload    (char *, socket_t*);
void            telnet_options          (socket_t*);
void            telnet_options          (socket_t*);
void            telnet_tx               (socket_t*, line_buf_t*);
void            telnet_tx               (socket_t*, line_buf_t*);
void            process_telnet          (socket_t*);
void            process_telnet          (socket_t*);
int             parse_command           (socket_t*, char*);
int             parse_command           (telnet_t*, char*);
 
void            telnet_disconnect       (app_t *);
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.