OpenCores
URL https://opencores.org/ocsvn/amber/amber/trunk

Subversion Repositories amber

[/] [amber/] [trunk/] [sw/] [boot-loader-ethmac/] [udp.c] - Diff between revs 61 and 78

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 61 Rev 78
Line 105... Line 105...
            case  UDP_WRITE:
            case  UDP_WRITE:
                udp_file_g = init_buffer_512();
                udp_file_g = init_buffer_512();
                udp_file_g->filename = malloc(256);
                udp_file_g->filename = malloc(256);
                strcpy(udp_file_g->filename, &buf[10]);
                strcpy(udp_file_g->filename, &buf[10]);
 
 
                if (strncmp(&buf[10], "vmlinux") == 0)
                if (strncmp(&buf[10], "vmlinux", 7) == 0)
                    udp_file_g->linux_boot == 1;
                    udp_file_g->linux_boot = 1;
 
 
                udp_current_block_g = udp_file_g;
                udp_current_block_g = udp_file_g;
 
 
 
 
                if (binary_mode)
                if (binary_mode)
                    udp_reply(rx_packet, udp_dst_port, udp_src_port, 0, UDP_ACK);
                    udp_reply(rx_packet, udp_dst_port, udp_src_port, 0, UDP_ACK);
                else
                else
                    udp_reply(rx_packet, udp_dst_port, udp_src_port, 0, UDP_ERROR);
                    udp_reply(rx_packet, udp_dst_port, udp_src_port, 0, UDP_ERROR);
                break;
                break;
Line 247... Line 246...
    block->last_block  = 0;
    block->last_block  = 0;
    block->total_bytes  = 0;
    block->total_bytes  = 0;
    block->total_blocks  = 0;
    block->total_blocks  = 0;
    block->ready  = 0;
    block->ready  = 0;
    block->filename  = NULL;
    block->filename  = NULL;
 
    block->linux_boot = 0;
    return block;
    return block;
}
}
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.