OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [perl/] [mpsoc_gen.pl] - Diff between revs 43 and 45

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 43 Rev 45
Line 1277... Line 1277...
    close(FILE) || die "Error closing file: $!";
    close(FILE) || die "Error closing file: $!";
 
 
    my @ff= ("$target_dir/src_verilog/$name.v","$target_dir/src_verilog/${name}_top.v");
    my @ff= ("$target_dir/src_verilog/$name.v","$target_dir/src_verilog/${name}_top.v");
    add_to_project_file_list(\@ff,"$hw_dir/lib/",$hw_dir);
    add_to_project_file_list(\@ff,"$hw_dir/lib/",$hw_dir);
 
 
 
 
 
    #write perl_object_file 
 
        mkpath("$target_dir/perl_lib/",1,01777);
 
        open(FILE,  ">$target_dir/perl_lib/$name.SOC") || die "Can not open: $!";
 
        print FILE perl_file_header("$name.MPSOC");
 
        print FILE Data::Dumper->Dump([\%$mpsoc],['mpsoc']);
 
 
 
 
    message_dialog("MPSoC \"$name\" has been created successfully at $target_dir/ " ) if($show_sucess_msg);
    message_dialog("MPSoC \"$name\" has been created successfully at $target_dir/ " ) if($show_sucess_msg);
 
 
 
 
 
 
return 1;
return 1;
Line 1301... Line 1309...
 
 
}
}
 
 
 
 
sub mpsoc_mem_prog {
sub mpsoc_mem_prog {
     my $string='
     my $string='#!/bin/bash
#!/bin/sh
 
 
 
 
 
#JTAG_INTFC="$PRONOC_WORK/toolchain/bin/JTAG_INTFC"
#JTAG_INTFC="$PRONOC_WORK/toolchain/bin/JTAG_INTFC"
source ./jtag_intfc.sh
source ./jtag_intfc.sh
 
 
Line 1330... Line 1337...
 
 
#programe the memory
#programe the memory
for i in $(ls -d */); do
for i in $(ls -d */); do
    echo "Enter ${i%%/}"
    echo "Enter ${i%%/}"
    cd ${i%%/}
    cd ${i%%/}
    sh write_memory.sh
    bash write_memory.sh
    cd ..
    cd ..
done
done
 
 
#Enable the cpu
#Enable the cpu
$JTAG_INTFC -n 127  -d  "I:1,D:2:0,I:0"
$JTAG_INTFC -n 127  -d  "I:1,D:2:0,I:0"
Line 1580... Line 1587...
            add_colored_info(\$tview,"\tThe $jtag_intfc does not exists!. Press the compile button and select your FPGA board first to generate $jtag_intfc file\n", 'red');
            add_colored_info(\$tview,"\tThe $jtag_intfc does not exists!. Press the compile button and select your FPGA board first to generate $jtag_intfc file\n", 'red');
            $error=1;
            $error=1;
        }
        }
 
 
        return if($error);
        return if($error);
        my $command = "cd $sw; sh program.sh";
        my $command = "cd $sw; bash program.sh";
        add_info(\$tview,"$command\n");
        add_info(\$tview,"$command\n");
        my ($stdout,$exit,$stderr)=run_cmd_in_back_ground_get_stdout($command);
        my ($stdout,$exit,$stderr)=run_cmd_in_back_ground_get_stdout($command);
        if(length $stderr>1){
        if(length $stderr>1){
            add_colored_info(\$tview,"$stderr\n",'red');
            add_colored_info(\$tview,"$stderr\n",'red');
            add_colored_info(\$tview,"Memory was not programed successfully!\n",'red');
            add_colored_info(\$tview,"Memory was not programed successfully!\n",'red');

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.