OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [perl/] [mpsoc_verilog_gen.pl] - Diff between revs 42 and 43

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 42 Rev 43
Line 1... Line 1...
 
 
 
 
use strict;
use strict;
use warnings;
use warnings;
 
 
 
use FindBin;
 
use lib $FindBin::Bin;
 
 
use mpsoc;
use mpsoc;
use soc;
use soc;
use ip;
use ip;
use ip_gen;
use ip_gen;
use Cwd;
use Cwd;
Line 36... Line 40...
 
 
        #generate noc_parameter
        #generate noc_parameter
        my ($noc_param,$pass_param)=gen_noc_param_v($mpsoc);
        my ($noc_param,$pass_param)=gen_noc_param_v($mpsoc);
 
 
        #generate the noc
        #generate the noc
        my $noc_v=gen_noc_v($pass_param);
        my $noc_v=gen_noc_v($mpsoc,$pass_param);
 
 
        #generate socs
        #generate socs
        my $socs_v=gen_socs_v($mpsoc,\$io_v,\$io_def_v,\$top_io,$top_ip,$sw_dir);
        my $socs_v=gen_socs_v($mpsoc,\$io_v,\$io_def_v,\$top_io,$top_ip,$sw_dir);
 
 
        #functions
        #functions
Line 104... Line 108...
                                log2=log2+1;
                                log2=log2+1;
                        end
                        end
        end
        end
        endfunction // log2
        endfunction // log2
 
 
        function integer CORE_NUM;
 
                input integer x,y;
 
                begin
 
                        CORE_NUM = ((y * NX) +  x);
 
                end
 
        endfunction
 
 
 
 
 
 
 
        localparam      Fw      =   2+V+Fpay,
 
                                NC     =        (TOPOLOGY=="RING" || TOPOLOGY=="LINE" )? NX    :   NX*NY,       //number of cores
 
                                Xw      =   log2(NX),
 
                                Yw      =   log2(NY) ,
 
                                Cw      =   (C>1)? log2(C): 1,
 
                                NCw     =   log2(NC),
 
                                NCV     =   NC  * V,
 
                                NCFw    =   NC  * Fw;
 
        ';
        ';
 
 
        return $p;
        return $p;
 
 
 
 
Line 134... Line 125...
 
 
sub  gen_socs_param{
sub  gen_socs_param{
        my $mpsoc=shift;
        my $mpsoc=shift;
        my $socs_param="
        my $socs_param="
//SOC parameters\n";
//SOC parameters\n";
        my $nx= $mpsoc->object_get_attribute('noc_param',"NX");
        my ($NE, $NR, $RAw,  $EAw, $Fw) = get_topology_info($mpsoc);
    my $ny= $mpsoc->object_get_attribute('noc_param',"NY");
 
    my $processors_en=0;
    my $processors_en=0;
    for (my $y=0;$y<$ny;$y++){
    for (my $tile=0;$tile<$NE;$tile++){
                for (my $x=0; $x<$nx;$x++){
 
                        my $tile=($nx*$y)+ $x;
 
                        my ($soc_name,$n,$soc_num)=$mpsoc->mpsoc_get_tile_soc_name($tile);
                        my ($soc_name,$n,$soc_num)=$mpsoc->mpsoc_get_tile_soc_name($tile);
                        if(defined $soc_name) {
                        if(defined $soc_name) {
                                my $param=      gen_soc_param($mpsoc,$soc_name,$soc_num,$tile);
                                my $param=      gen_soc_param($mpsoc,$soc_name,$soc_num,$tile);
                                add_text_to_string(\$socs_param,$param);
                                add_text_to_string(\$socs_param,$param);
                        }
                        }
        }}#x&y
        }#$tile
        $socs_param="$socs_param \n";
        $socs_param="$socs_param \n";
        return $socs_param;
        return $socs_param;
 
 
}
}
 
 
 
 
sub  gen_soc_param {
sub  gen_soc_param {
        my ($mpsoc,$soc_name,$soc_num,$tile)=@_;
        my ($mpsoc,$soc_name,$soc_num,$tile)=@_;
Line 269... Line 256...
 
 
 
 
 
 
 
 
sub gen_noc_v{
sub gen_noc_v{
        my $pass_param = shift;
        my ($mpsoc,$pass_param) = @_;
 
        my ($NE, $NR, $RAw, $EAw, $Fw) = get_topology_info($mpsoc);
        my $noc =  read_verilog_file("../src_noc/noc.v");
        my $noc =  read_verilog_file("../src_noc/noc.v");
        my @noc_param=$noc->get_modules_parameters_not_local_order('noc');
        my @noc_param=$noc->get_modules_parameters_not_local_order('noc');
 
 
 
 
        my $noc_v='
        my $noc_v="
 
 
 
 
 
        localparam
 
                NE = $NE,
 
                NR = $NR,
 
                RAw = $RAw,
 
                EAw = $EAw,
 
                Fw = $Fw,
 
                NEFw = NE * Fw,
 
                NEV = NE * V;
 
 
//NoC ports
//NoC ports
        wire [Fw-1      :   0]  ni_flit_out                 [NC-1           :0];
    // connection to NI modules
        wire [NC-1      :   0]  ni_flit_out_wr;
        wire [Fw-1      :   0]  ni_flit_out                 [NE-1           :0];
        wire [V-1       :   0]  ni_credit_in                [NC-1           :0];
        wire [NE-1      :   0]  ni_flit_out_wr;
        wire [Fw-1      :   0]  ni_flit_in                  [NC-1           :0];
        wire [V-1       :   0]  ni_credit_in                [NE-1           :0];
        wire [NC-1      :   0]  ni_flit_in_wr;
        wire [Fw-1      :   0]  ni_flit_in                  [NE-1           :0];
        wire [V-1       :   0]  ni_credit_out               [NC-1           :0];
        wire [NE-1      :   0]  ni_flit_in_wr;
        wire [NCFw-1    :   0]  flit_out_all;
        wire [V-1       :   0]  ni_credit_out               [NE-1           :0];
        wire [NC-1      :   0]  flit_out_wr_all;
 
        wire [NCV-1     :   0]  credit_in_all;
        //connection wire to NoC
        wire [NCFw-1    :   0]  flit_in_all;
        wire [NEFw-1    :   0]  flit_out_all;
        wire [NC-1      :   0]  flit_in_wr_all;
        wire [NE-1      :   0]  flit_out_wr_all;
        wire [NCV-1     :   0]  credit_out_all;
        wire [NEV-1     :   0]  credit_in_all;
 
        wire [NEFw-1    :   0]  flit_in_all;
 
        wire [NE-1      :   0]  flit_in_wr_all;
 
        wire [NEV-1     :   0]  credit_out_all;
 
 
        wire                                    noc_clk,noc_reset;
        wire                                    noc_clk,noc_reset;
 
    ";
 
 
    ';
 
 
 
 
 
 
 
        $noc_v="$noc_v
        $noc_v="$noc_v
//NoC\n \tnoc #(\n";
//NoC\n \tnoc #(\n";
Line 337... Line 338...
 
 
 
 
add_text_to_string(\$noc_v,'
add_text_to_string(\$noc_v,'
 
 
//NoC port assignment
//NoC port assignment
  genvar x,y;
  genvar IP_NUM;
  generate
  generate
    for (x=0;   x<NX; x=x+1) begin :x_loop1
    for (IP_NUM=0;   IP_NUM<NE; IP_NUM=IP_NUM+1) begin :endp
        for (y=0;   y<NY;   y=y+1) begin: y_loop1
 
                localparam IP_NUM   =   ((y * NX) +  x);
 
 
 
 
 
            assign  ni_flit_in      [IP_NUM] =   flit_out_all    [(IP_NUM+1)*Fw-1    : IP_NUM*Fw];
            assign  ni_flit_in      [IP_NUM] =   flit_out_all    [(IP_NUM+1)*Fw-1    : IP_NUM*Fw];
            assign  ni_flit_in_wr   [IP_NUM] =   flit_out_wr_all [IP_NUM];
            assign  ni_flit_in_wr   [IP_NUM] =   flit_out_wr_all [IP_NUM];
            assign  credit_in_all   [(IP_NUM+1)*V-1 : IP_NUM*V]     =   ni_credit_out   [IP_NUM];
            assign  credit_in_all   [(IP_NUM+1)*V-1 : IP_NUM*V]     =   ni_credit_out   [IP_NUM];
            assign  flit_in_all     [(IP_NUM+1)*Fw-1    : IP_NUM*Fw]    =   ni_flit_out     [IP_NUM];
            assign  flit_in_all     [(IP_NUM+1)*Fw-1    : IP_NUM*Fw]    =   ni_flit_out     [IP_NUM];
            assign  flit_in_wr_all  [IP_NUM] =   ni_flit_out_wr  [IP_NUM];
            assign  flit_in_wr_all  [IP_NUM] =   ni_flit_out_wr  [IP_NUM];
            assign  ni_credit_in    [IP_NUM] =   credit_out_all  [(IP_NUM+1)*V-1 : IP_NUM*V];
            assign  ni_credit_in    [IP_NUM] =   credit_out_all  [(IP_NUM+1)*V-1 : IP_NUM*V];
 
 
 
 
 
 
 
 
 
 
        end
 
    end
    end
endgenerate
endgenerate
 
 
'
'
);
);
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
        return $noc_v;
        return $noc_v;
 
 
}
}
 
 
 
 
 
 
 
 
sub gen_socs_v{
sub gen_socs_v{
        my ($mpsoc,$io_v_ref,$io_def_v,$top_io_ref,$top_ip,$sw_dir)=@_;
        my ($mpsoc,$io_v_ref,$io_def_v,$top_io_ref,$top_ip,$sw_dir)=@_;
 
 
        #generate loop
 
 
 
#       my $socs_v='
 
#       genvar x,y;    
 
#    
 
#    generate 
 
#    for (x=0;   x<NX; x=x+1) begin :x_loop1
 
#        for (y=0;   y<NY;   y=y+1) begin: y_loop1
 
#                localparam IP_NUM   =   CORE_NUM(x,y);'  ;     
 
 
 
 
 
 
 
#       my @socs= $mpsoc->mpsoc_get_soc_list();
 
#       foreach my $soc (@socs){
 
 
 
#       #tile num condition
 
#               my @tiles= $mpsoc->mpsoc_get_soc_tiles_num($soc);
 
#       if(scalar @tiles>0){
 
#               my $condition="\n\t\tif(";
 
#               my $s=compress_nums( @tiles);
 
#               my @sep=split(',',$s);
 
#                       my $i=0;
 
#                       foreach my $p (@sep){
 
#                               my @range=split(':',$p);
 
#                               my $tt;
 
#                               if($i==0){
 
#                                       $tt= (scalar @range>1)? "(IP_NUM>=$range[0] && IP_NUM<=$range[1])":"(IP_NUM==$range[0])" ;
 
#                               }else{
 
#                               }
 
#                               add_text_to_string(\$condition,$tt);
 
#                               $i=1;
 
#                       }
 
#                       add_text_to_string(\$condition,") begin :${soc}_if\n ");        
 
#                       #soc instance
 
#                       my $soc_v= gen_soc_v($mpsoc,$soc);
 
#         
 
#                       add_text_to_string(\$socs_v,$condition );
 
#                       add_text_to_string(\$socs_v,$soc_v);
 
#                       add_text_to_string(\$socs_v,"\t\tend // ${soc}_if \n");
 
#               }#scalar @tile  
 
# }     #froeach soc
 
 
 
 
 
 
 
 my $socs_v;
 my $socs_v;
 
        my ($NE, $NR, $RAw, $EAw, $EYw)= get_topology_info ($mpsoc);
 
 
 
 
   my $nx= $mpsoc->object_get_attribute('noc_param',"NX");
 
   my $ny= $mpsoc->object_get_attribute('noc_param',"NY");
 
   my $processors_en=0;
   my $processors_en=0;
   for (my $y=0;$y<$ny;$y++){
        for (my $id=0;$id<$NE;$id++){
                for (my $x=0; $x<$nx;$x++){
                        my ($soc_name,$n,$soc_num)=$mpsoc->mpsoc_get_tile_soc_name($id);
                        my $tile_num=($nx*$y)+ $x;
 
                        my ($soc_name,$n,$soc_num)=$mpsoc->mpsoc_get_tile_soc_name($tile_num);
 
 
 
                        if(defined $soc_name) {
                        if(defined $soc_name) {
 
                                my ($soc_v,$en)= gen_soc_v($mpsoc,$soc_name,$id,$soc_num,$io_v_ref,$io_def_v,$top_io_ref,$top_ip,$sw_dir);
 
 
 
 
                                my ($soc_v,$en)= gen_soc_v($mpsoc,$soc_name,$tile_num,$x,$y,$soc_num,$io_v_ref,$io_def_v,$top_io_ref,$top_ip,$sw_dir);
 
                                add_text_to_string(\$socs_v,$soc_v);
                                add_text_to_string(\$socs_v,$soc_v);
                                $processors_en|=$en;
                                $processors_en|=$en;
 
 
                        }else{
                        }else{
                                #this tile is not connected to any ip. the noc input ports will be connected to ground
                                #this tile is not connected to any ip. the noc input ports will be connected to ground
                                my $soc_v="\n\n // Tile:$tile_num (x=$x,y=$y)   is not assigned to any ip\n";
                                my $soc_v="\n\n // Tile:$id    is not assigned to any ip\n";
                                $soc_v="$soc_v
                                $soc_v="$soc_v
 
 
        assign ni_credit_out[$tile_num]={V{1'b0}};
        assign ni_credit_out[$id]={V{1'b0}};
        assign ni_flit_out[$tile_num]={Fw{1'b0}};
        assign ni_flit_out[$id]={Fw{1'b0}};
        assign ni_flit_out_wr[$tile_num]=1'b0;
        assign ni_flit_out_wr[$id]=1'b0;
        ";
        ";
                add_text_to_string(\$socs_v,$soc_v);
                add_text_to_string(\$socs_v,$soc_v);
 
 
                        }
                        }
 
 
        }}
        }
 
 
    if($processors_en){
    if($processors_en){
        add_text_to_string($io_v_ref,",\n\tprocessors_en");
        add_text_to_string($io_v_ref,",\n\tprocessors_en");
        add_text_to_string($io_def_v,"\t input processors_en;");
        add_text_to_string($io_def_v,"\t input processors_en;");
        add_text_to_string($top_io_ref,",\n\t\t.processors_en(processors_en_anded_jtag)");
        add_text_to_string($top_io_ref,",\n\t\t.processors_en(processors_en_anded_jtag)");
Line 488... Line 414...
##############
##############
 
 
 
 
 
 
sub   gen_soc_v{
sub   gen_soc_v{
        my ($mpsoc,$soc_name,$tile_num,$x,$y,$soc_num,$io_v_ref,$io_def_v,$top_io_ref,$top_ip,$sw_path)=@_;
        my ($mpsoc,$soc_name,$tile_num,$soc_num,$io_v_ref,$io_def_v,$top_io_ref,$top_ip,$sw_path)=@_;
        my $soc_v;
        my $soc_v;
        my $processor_en=0;
        my $processor_en=0;
        my $xw= log2($mpsoc->object_get_attribute('noc_param',"NX"));
        my ($NE, $NR, $RAw, $EAw, $Fw)= get_topology_info ($mpsoc);
        my $yw= log2($mpsoc->object_get_attribute('noc_param',"NY"));
        my $e_addr=endp_addr_encoder($mpsoc,$tile_num);
        $soc_v="\n\n // Tile:$tile_num (x=$x,y=$y)\n   \t$soc_name #(\n";
        my $router_num = get_connected_router_id_to_endp($mpsoc,$tile_num);
 
        my $r_addr=router_addr_encoder($mpsoc,$router_num);
 
 
        # Global parameter
 
        add_text_to_string(\$soc_v,"\t\t.CORE_ID($tile_num),\n\t\t.SW_LOC(\"$sw_path/tile$tile_num\")");
 
 
 
        # ni parameter
 
        my $top=$mpsoc->mpsoc_get_soc($soc_name);
 
        my @nis=get_NI_instance_list($top);
 
        my @noc_param=$top->top_get_parameter_list($nis[0]);
 
        my $inst_name=$top->top_get_def_of_instance($nis[0],'instance');
 
 
 
        #other parameters
        $soc_v="\n\n // Tile:$tile_num ($e_addr)\n   \t$soc_name #(\n";
        my %params=$top->top_get_default_soc_param();
 
 
 
        foreach my $p (@noc_param){
        # Global parameter
                my $parm_next = $p;
        add_text_to_string(\$soc_v,"\t\t.CORE_ID($tile_num),\n\t\t.SW_LOC(\"$sw_path/tile$tile_num\")");
                $parm_next =~ s/${inst_name}_//;
 
                my $param=  ",\n\t\t.$p($parm_next)";
 
                add_text_to_string(\$soc_v,$param);
 
        }
 
        foreach my $p (sort keys %params){
 
                my $parm_next= "${soc_name}_${soc_num}_$p";
 
                my $param=  ",\n\t\t.$p($parm_next)";
 
                add_text_to_string(\$soc_v,$param);
 
 
 
        }
 
 
 
        add_text_to_string(\$soc_v,"\n\t)the_${soc_name}_$soc_num(\n");
        # ni parameter
 
        my $top=$mpsoc->mpsoc_get_soc($soc_name);
 
        my @nis=get_NI_instance_list($top);
 
        my @noc_param=$top->top_get_parameter_list($nis[0]);
 
        my $inst_name=$top->top_get_def_of_instance($nis[0],'instance');
 
 
        my @intfcs=$top->top_get_intfc_list();
        #other parameters
 
        my %params=$top->top_get_default_soc_param();
 
 
        my $i=0;
 
 
 
        my $dir = Cwd::getcwd();
 
        my $mpsoc_name=$mpsoc->object_get_attribute('mpsoc_name');
 
        my $target_dir  = "$ENV{'PRONOC_WORK'}/MPSOC/$mpsoc_name";
 
        my $soc_file="$target_dir/src_verilog/tiles/$soc_name.v";
 
 
 
        my $vdb =read_verilog_file($soc_file);
        foreach my $p (@noc_param){
 
                my $parm_next = $p;
 
                $parm_next =~ s/${inst_name}_//;
 
                my $param=  ",\n\t\t.$p($parm_next)";
 
                add_text_to_string(\$soc_v,$param);
 
        }
 
        foreach my $p (sort keys %params){
 
                my $parm_next= "${soc_name}_${soc_num}_$p";
 
                my $param=  ",\n\t\t.$p($parm_next)";
 
                add_text_to_string(\$soc_v,$param);
 
 
        my %soc_localparam = $vdb->get_modules_parameters($soc_name);
        }
 
 
 
        add_text_to_string(\$soc_v,"\n\t)the_${soc_name}_$soc_num(\n");
 
 
        foreach my $intfc (@intfcs){
        my @intfcs=$top->top_get_intfc_list();
 
 
                # ni intfc      
        my $i=0;
                if( $intfc eq 'socket:ni[0]'){
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
 
 
                        foreach my $p (@ports){
        my $dir = Cwd::getcwd();
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
        my $mpsoc_name=$mpsoc->object_get_attribute('mpsoc_name');
                                my $q=($intfc_port eq "current_x")? "$xw\'d$x" :
        my $target_dir  = "$ENV{'PRONOC_WORK'}/MPSOC/$mpsoc_name";
                                                                  ($intfc_port eq "current_y")? "$yw\'d$y" :"ni_$intfc_port\[$tile_num\]";
        my $soc_file="$target_dir/src_verilog/tiles/$soc_name.v";
                                add_text_to_string(\$soc_v,',') if ($i);
 
                                add_text_to_string(\$soc_v,"\n\t\t.$p($q)");
 
                                $i=1;
 
 
 
 
        my $vdb =read_verilog_file($soc_file);
 
 
                        }
        my %soc_localparam = $vdb->get_modules_parameters($soc_name);
                }
 
                # clk source
 
                elsif( $intfc eq 'plug:clk[0]'){
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                            add_text_to_string(\$soc_v,"\n\t\t.$p(clk)");
 
                            $i=1;
 
 
 
                        }
 
                }
 
                #reset
 
                elsif( $intfc eq 'plug:reset[0]'){
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                            add_text_to_string(\$soc_v,"\n\t\t.$p(reset)");
 
                            $i=1;
 
 
 
                        }
        foreach my $intfc (@intfcs){
 
 
 
                # ni intfc
 
                if( $intfc eq 'socket:ni[0]'){
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
 
 
                        foreach my $p (@ports){
 
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                                my $q=  ($intfc_port eq "current_e_addr")? "$EAw\'d$e_addr" :
 
                                                ($intfc_port eq "current_r_addr")? "$RAw\'d$r_addr" :
 
                                                "ni_$intfc_port\[$tile_num\]";
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                                add_text_to_string(\$soc_v,"\n\t\t.$p($q)");
 
                                $i=1;
 
 
                }
 
                #enable
 
                elsif( $intfc eq 'plug:enable[0]'){
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                            add_text_to_string(\$soc_v,"\n\t\t.$p(processors_en)");
 
                            $processor_en=1;
 
                            $i=1;
 
 
 
                        }
                        }
 
                }
 
                # clk source
 
                elsif( $intfc eq 'plug:clk[0]'){
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                            add_text_to_string(\$soc_v,"\n\t\t.$p(clk)");
 
                            $i=1;
 
 
 
                        }
 
                }
 
                #reset
 
                elsif( $intfc eq 'plug:reset[0]'){
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                            add_text_to_string(\$soc_v,"\n\t\t.$p(reset)");
 
                            $i=1;
 
 
                }
                        }
                #RxD_sim
 
                elsif( $intfc eq 'socket:RxD_sim[0]'){
 
                        #This interface is for simulation only donot include it in top module
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                                add_text_to_string(\$soc_v,"\n\t\t.$p( )");
 
                                $i=1;
 
                        }
 
 
 
                }
 
 
 
                else {
 
                #other interface
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                        my $io_port="${soc_name}_${soc_num}_${p}";
 
                        #resolve range parameter
 
                        if (defined $range ){
 
                                my @a= split (/\b/,$range);
 
                                foreach my $l (@a){
 
                                        #if defined in parameter list ignore it
 
                                        next  if(defined $params{$l});
 
                                        ($range=$range)=~ s/\b$l\b/$soc_localparam{$l}/g      if(defined $soc_localparam{$l});
 
                                        #else s
 
 
 
                                        #print "$l\n";
 
                                }
 
 
 
                        }
                }
                        #io name 
                #enable
                        add_text_to_string($io_v_ref,",\n\t$io_port");
                elsif( $intfc eq 'plug:enable[0]'){
                        add_text_to_string($top_io_ref,",\n\t\t.$io_port($io_port)");
                        my @ports=$top->top_get_intfc_ports_list($intfc);
                        #io definition
                        foreach my $p (@ports){
                        my $new_range = add_instantc_name_to_parameters(\%params,"${soc_name}_$soc_num",$range);
                                my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
                        #my $new_range=$range;
                                add_text_to_string(\$soc_v,',') if ($i);
                        my $port_def=(length ($range)>1 )?      "\t$type\t [ $new_range    ] $io_port;\n": "\t$type\t\t\t$io_port;\n";
                            add_text_to_string(\$soc_v,"\n\t\t.$p(processors_en)");
                        $top_ip->top_add_port("${soc_name}_$tile_num" ,$io_port, $new_range ,$type,$intfc_name,$intfc_port);
                            $processor_en=1;
 
                            $i=1;
                        add_text_to_string($io_def_v,"$port_def");
 
                        add_text_to_string(\$soc_v,',') if ($i);
 
                        add_text_to_string(\$soc_v,"\n\t\t.$p($io_port)");
 
                        $i=1;
 
 
 
                        }
                        }
 
 
 
 
                }
                }
 
                #RxD_sim
 
                elsif( $intfc eq 'socket:RxD_sim[0]'){
 
                        #This interface is for simulation only donot include it in top module
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                                add_text_to_string(\$soc_v,',') if ($i);
 
                                add_text_to_string(\$soc_v,"\n\t\t.$p( )");
 
                                $i=1;
 
                        }
 
 
 
                }
 
 
        }
                else {
 
                #other interface
 
                        my @ports=$top->top_get_intfc_ports_list($intfc);
 
                        foreach my $p (@ports){
 
                        my($inst,$range,$type,$intfc_name,$intfc_port)= $top->top_get_port($p);
 
                        my $io_port="${soc_name}_${soc_num}_${p}";
 
                        #resolve range parameter
 
                        if (defined $range ){
 
                                my @a= split (/\b/,$range);
 
                                foreach my $l (@a){
 
                                        #if defined in parameter list ignore it
 
                                        next  if(defined $params{$l});
 
                                        ($range=$range)=~ s/\b$l\b/$soc_localparam{$l}/g      if(defined $soc_localparam{$l});
 
                                        #else s
 
 
        add_text_to_string(\$soc_v,"\n\t);\n");
                                        #print "$l\n";
 
                                }
 
 
 
                        }
 
                        #io name
 
                        add_text_to_string($io_v_ref,",\n\t$io_port");
 
                        add_text_to_string($top_io_ref,",\n\t\t.$io_port($io_port)");
 
                        #io definition
 
                        my $new_range = add_instantc_name_to_parameters(\%params,"${soc_name}_$soc_num",$range);
 
                        #my $new_range=$range;
 
                        my $port_def=(length ($range)>1 )?      "\t$type\t [ $new_range    ] $io_port;\n": "\t$type\t\t\t$io_port;\n";
 
                        $top_ip->top_add_port("${soc_name}_$tile_num" ,$io_port, $new_range ,$type,$intfc_name,$intfc_port);
 
 
 
                        add_text_to_string($io_def_v,"$port_def");
 
                        add_text_to_string(\$soc_v,',') if ($i);
 
                        add_text_to_string(\$soc_v,"\n\t\t.$p($io_port)");
 
                        $i=1;
 
 
 
                        }
 
 
 
 
 
                }
 
 
 
 
 
        }
 
 
 
        add_text_to_string(\$soc_v,"\n\t);\n");
 
 
 
 
        return ($soc_v,$processor_en);
 
 
 
}
 
 
 
 
 
sub log2{
 
        my $num=shift;
 
        my $log=0;
 
        while( (1<< $log)  < $num) {
 
                                $log++;
 
        }
 
        return  $log;
 
}
 
 
 
 
 
 
 
sub gen_emulate_top_v{
 
                my $emulate=shift;
 
                my ($localparam, $pass_param)=gen_noc_param_v( $emulate);
        return ($soc_v,$processor_en);
                my $top_v="
 
 
}
 
 
 
 
 
sub log2{
 
        my $num=shift;
 
        my $log=0;
 
        while( (1<< $log)  < $num) {
 
                                $log++;
 
        }
 
        return  $log;
 
}
 
 
 
 
 
 
 
sub gen_emulate_top_v{
 
                my $emulate=shift;
 
                my ($localparam, $pass_param)=gen_noc_param_v( $emulate);
 
                my $top_v="
 
 
module  emulator_top (
module  emulator_top (
        output [0:0]LEDR,
        output [0:0]LEDR,
        output [0:0]LEDG,
        output [0:0]LEDG,
        input  [0:0]KEY,
        input  [0:0]KEY,
Line 761... Line 696...
 
 
 
 
endmodule
endmodule
 
 
 
 
                ";
                ";
                return $top_v;
                return $top_v;
 
 
 
 
 
 
 
 
}
}
 
 
 
 
1
 
 
 
 No newline at end of file
 No newline at end of file
 
1
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.