OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [src_processor/] [mor1kx-3.1/] [sw/] [mor1kx/] [system.h] - Diff between revs 38 and 48

Show entire file | Details | Blame | View Log

Rev 38 Rev 48
Line 75... Line 75...
/* Wait for 10ms, assumes CLK_HZ is 100, which it usually is.
/* Wait for 10ms, assumes CLK_HZ is 100, which it usually is.
   Will be slightly inaccurate!*/
   Will be slightly inaccurate!*/
void cpu_sleep_10ms(void);
void cpu_sleep_10ms(void);
 
 
 
 
 No newline at end of file
 No newline at end of file
 
void __main (void); //initial_global_data
 
 
 
/******************
 
*       General inttrupt functions for all CPUs added to ProNoC
 
*******************/
 
 
 
#define  general_int_init int_init
 
 
 
//#define  general_int_add   int_add
 
inline int general_int_add(unsigned long vect, void (* handler), void *arg){
 
        return  int_add(vect,  handler,arg);
 
}
 
 
 
#define  general_int_enable int_enable
 
 
 
#define  general_cpu_int_en     cpu_enable_user_interrupts
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.