OpenCores
URL https://opencores.org/ocsvn/apb_mstr/apb_mstr/trunk

Subversion Repositories apb_mstr

[/] [apb_mstr/] [trunk/] [src/] [base/] [def_axi_master_static.txt] - Diff between revs 7 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 7 Rev 8
Line 27... Line 27...
////                                                             ////
////                                                             ////
//////////////////////////////////////////////////////////////////##>
//////////////////////////////////////////////////////////////////##>
 
 
SWAP.GLOBAL MODEL_NAME AXI master stub
SWAP.GLOBAL MODEL_NAME AXI master stub
 
 
VERIFY (DATA_BITS <= 64) else stub supports 32 or 64 bits data bus
VERIFY (DATA_BITS <= 64) ##stub supports 32 or 64 bits data bus
VERIFY (SIZE_BITS <= 3) else stub supports 32 or 64 bits data bus
VERIFY (SIZE_BITS <= 3) ##stub supports 32 or 64 bits data bus
 
 
GROUP STUB_AXI_A is {
GROUP STUB_AXI_A is {
    ID       ID_BITS                output
    ID       ID_BITS                output
    ADDR     ADDR_BITS              output
    ADDR     ADDR_BITS              output
    LEN      LEN_BITS               output
    LEN      LEN_BITS               output
Line 77... Line 77...
    GROUP STUB_AXI_A prefix_AR
    GROUP STUB_AXI_A prefix_AR
    GROUP STUB_AXI_R prefix_R
    GROUP STUB_AXI_R prefix_R
}
}
 
 
GROUP AXI_MASTER_RAND is {
GROUP AXI_MASTER_RAND is {
 
   ahb_bursts   SON(DEFAULT 0)
   use_addr_base   SON(DEFAULT 0)
   use_addr_base   SON(DEFAULT 0)
   len_min     SON(DEFAULT 0)
   len_min     SON(DEFAULT 0)
   len_max     SON(DEFAULT 15)
   len_max     SON(DEFAULT 15)
   size_min    SON(DEFAULT 0)
   size_min    SON(DEFAULT 0)
   size_max    SON(DEFAULT 3)
   size_max    SON(DEFAULT 3)
   addr_min    SON(DEFAULT 0)
   addr_min    SON(DEFAULT 0)
   addr_max    SON(DEFAULT {DATA_BITS{1'b1}})
   addr_max    SON(DEFAULT {DATA_BITS{1'b1}})
}
}
 
 
 No newline at end of file
 No newline at end of file
 
SWAP ID_NUM GROUP_AXI_ID.NUM
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.