OpenCores
URL https://opencores.org/ocsvn/apbtoaes128/apbtoaes128/trunk

Subversion Repositories apbtoaes128

[/] [apbtoaes128/] [trunk/] [testbench/] [aes_tb_vpi.v] - Diff between revs 4 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 6
Line 128... Line 128...
         end
         end
 
 
        initial PCLK = 1'b0;
        initial PCLK = 1'b0;
        always #(5) PCLK = ~PCLK;
        always #(5) PCLK = ~PCLK;
 
 
        //
        //ECB
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_encryption_ecb_aes128;
                $bfm_encryption_ecb_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_encryption_ecb_dma_aes128;
                $bfm_encryption_ecb_dma_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_encryption_ccfie_ecb_aes128;
                $bfm_encryption_ccfie_ecb_aes128;
 
 
        //
        //CBC
 
        always@(posedge PCLK)
 
                $bfm_encryption_cbc_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_encryption_cbc_dma_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_encryption_ccfie_cbc_aes128;
 
 
 
        //CTR
 
        always@(posedge PCLK)
 
                $bfm_encryption_ctr_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_encryption_ctr_dma_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_encryption_ccfie_ctr_aes128;
 
 
 
 
 
        //ECB
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_key_generation_ecb_aes128;
                $bfm_key_generation_ecb_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_key_generation_dma_ecb_aes128;
                $bfm_key_generation_dma_ecb_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_key_generation_ccfie_ecb_aes128;
                $bfm_key_generation_ccfie_ecb_aes128;
 
 
        //
        //CBC
 
        always@(posedge PCLK)
 
                $bfm_key_generation_cbc_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_key_generation_dma_cbc_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_key_generation_ccfie_cbc_aes128;
 
 
 
        //CTR
 
        always@(posedge PCLK)
 
                $bfm_key_generation_ctr_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_key_generation_dma_ctr_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_key_generation_ccfie_ctr_aes128;
 
 
 
        //ECB
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_decryption_ecb_aes128;
                $bfm_decryption_ecb_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_decryption_ecb_dma_aes128;
                $bfm_decryption_ecb_dma_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_decryption_ccfie_ecb_aes128;
                $bfm_decryption_ccfie_ecb_aes128;
 
 
        //
        //CBC
 
        always@(posedge PCLK)
 
                $bfm_decryption_cbc_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_decryption_cbc_dma_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_decryption_ccfie_cbc_aes128;
 
 
 
        //CTR
 
        always@(posedge PCLK)
 
                $bfm_decryption_ctr_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_decryption_ctr_dma_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_decryption_ccfie_ctr_aes128;
 
 
 
        //ECB
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_derivation_decryption_ecb_aes128;
                $bfm_derivation_decryption_ecb_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_derivation_decryption_dma_ecb_aes128;
                $bfm_derivation_decryption_dma_ecb_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_derivation_decryption_ccfie_ecb_aes128;
                $bfm_derivation_decryption_ccfie_ecb_aes128;
 
 
 
        //CTR
 
        always@(posedge PCLK)
 
                $bfm_derivation_decryption_ctr_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_derivation_decryption_dma_ctr_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_derivation_decryption_ccfie_ctr_aes128;
 
 
 
        //CBC
 
        always@(posedge PCLK)
 
                $bfm_derivation_decryption_cbc_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_derivation_decryption_dma_cbc_aes128;
 
 
 
        always@(posedge PCLK)
 
                $bfm_derivation_decryption_ccfie_cbc_aes128;
 
 
        //
        //
        always@(posedge PCLK)
        always@(posedge PCLK)
                $bfm_wr_aes128;
                $bfm_wr_aes128;
 
 
        always@(posedge PCLK)
        always@(posedge PCLK)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.